Manage settings
MENU
About this site
In het Nederlands
Home
Researchers
Projects
Organisations
Publications
Infrastructure
Contact
Research Explorer
Your browser does not support JavaScript or JavaScript is not enabled. Without JavaScript some functions of this webapplication may be disabled or cause error messages. To enable JavaScript, please consult the manual of your browser or contact your system administrator.
Researcher
Christophe Detavernier
Profile
Projects
Publications
Activities
Awards & Distinctions
755
Results
2024
Advancing beyond Sabatier : strategies for dynamic synthetic catalysis
Matthias Filez
Jolien Dendooven
Maarten B.J. Roeffaers
Christophe Detavernier
A1
Journal Article
in
CHEM
2024
Al3Sc thin films for advanced interconnect applications
Jean -Philippe Soulie
Kiroubanand Sankaran
Valeria Founta
Karl Opsomer
Christophe Detavernier
Joris Van de Vondel
Geoffrey Pourtois
Zsolt Tokei
Johan Swerts
Christoph Adelmann
A1
Journal Article
in
MICROELECTRONIC ENGINEERING
2024
Atomic layer deposition for tuning the surface chemical composition of nickel iron phosphates for oxygen evolution reaction in alkaline electrolyzers
Ruben Blomme
Rahul Ramesh
Lowie Henderick
Matthias Minjauw
Philippe Vereecken
Mieke Adriaens
Christophe Detavernier
Jolien Dendooven
A1
Journal Article
in
NANOTECHNOLOGY
2024
Catalysts made from vapour
Matthias Filez
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
NATURE CATALYSIS
2024
Chalcogenide thin films and their application in threshold switching selector devices
Jonas Keukelier
Christophe Detavernier
Dissertation
2024
Controlling Pt nanoparticle sintering by sub-monolayer MgO ALD thin films
Zhang Zhiwei
Matthias Filez
Eduardo Solano
Nithin Poonkottil
Jin Li
Matthias Minjauw
Hilde Poelman
Martin Rosenthal
Philipp Brüner
Vladimir Galvita
et al.
A1
Journal Article
in
NANOSCALE
2024
Elucidating the non-covalent interactions that trigger interdigitation in lead-halide layered hybrid perovskites
Arthur Maufort
Jesus Cerda
Kristof Van Hecke
Davy Deduytsche
Arne Verding
Bart Ruttens
Wei Li
Christophe Detavernier
Laurence Lutsen
Claudio Quarti
et al.
A1
Journal Article
in
INORGANIC CHEMISTRY
2024
Engineering the interfaces in MgO-modified Ni/Al2O3 for CO2 methanation
Yufei Xie
Servaas Lips
Lennert D'ooghe
Maarten Sabbe
Christophe Detavernier
Hilde Poelman
Vladimir Galvita
A1
Journal Article
in
APPLIED CATALYSIS A-GENERAL
2024
In Vacuo XPS Study on Pt Growth by Atomic Layer Deposition Using MeCpPtMe<sub>3</sub> and N<sub>2</sub>/NH<sub>3</sub> Plasma
Jin Li
Matthias Minjauw
Eduardo Solano
Giulio D’Acunto
Payam Shayesteh
Joachim Schnadt
Christophe Detavernier
Jolien Dendooven
U
Journal Article
in
The Journal of Physical Chemistry C
2024
In-situ XAS : XRS study of a multifunctional Ni-Fe-Ca-Mg-Al-O material for combined chemical looping
Soumya Kumar Das
Lukas Buelens
Valentijn De Coster
Stavros-Alexandros Theofanidis
Alessandro Mirone
Christoph Sahle
Christophe Detavernier
Hilde Poelman
Dirk Poelman
Alessandro Longo
et al.
C3
Conference
2024
Investigation of atomic layer deposition methods of Al2O3 on n-GaN
Liad Tadmor
Sofie Vandenbroucke
Eldad Bahat Treidel
Enrico Brusaterra
Paul Plate
Nicole Volkmer
Frank Brunner
Christophe Detavernier
Joachim Wurfl
Oliver Hilt
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2024
Nanoscale chemical diversity of coke deposits on nanoprinted metal catalysts visualized by tip‐enhanced Raman spectroscopy
Matthias Filez
Peter Walke
Hai Le‐The
Shuichi Toyouchi
Wannes Peeters
Patrick Tomkins
Jan C. T. Eijkel
Steven De Feyter
Christophe Detavernier
Dirk E. De Vos
et al.
A1
Journal Article
in
ADVANCED MATERIALS
2024
Optimization of Non-Alloyed Backside Ohmic Contacts to N-Face GaN for Fully Vertical GaN-on-Silicon-Based Power Devices
Youssef Hamdaoui
Sofie S. T. Vandenbroucke
Sondre Michler
Katir Ziouche
Matthias Minjauw
Christophe Detavernier
Farid Medjdoub
U
Journal Article
in
Micromachines
2024
Role of the oxidizing co-reactant in Pt growth by atomic layer deposition using MeCpPtMe3 and O2/O3/O2-plasma
Jin Li
Sylwia Klejna
Matthias Minjauw
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF PHYSICAL CHEMISTRY C
2024
Short‐wave infrared colloidal QDs photodetector with nanosecond response times enabled by ultrathin absorber layers
Yuhao Deng
Chao Pang
Ezat Kheradmand
Jari Leemans
Jing Bai
Matthias Minjauw
Jiayi Liu
Korneel Molkens
Jeroen Beeckman
Christophe Detavernier
et al.
A1
Journal Article
in
ADVANCED MATERIALS
2024
Strategic superposition : Sb2Te3/TiTe2 superlattices possess a low thermal conductivity contrast, ideal for PCM
Seppe Van Dyck
Kiumars Aryana
Md. Rafiqul Islam
William Riffe
Patrick Hopkins
Christophe Detavernier
C3
Conference
2024
Study of SiGeAsTe and SiGeAsSe chalcogenide thin films by Raman spectroscopy and understanding of their OTS properties
Jonas Keukelier
Wouter Devulder
Stefanie Sergeant
Thomas Nuytten
Johan Meersschaut
Karl Opsomer
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF NON-CRYSTALLINE SOLIDS
2024
Thinking outside the box : non-standard methods for atomic/molecular layer deposition
Juan Santo-Domingo Peñaranda
Jolien Dendooven
Christophe Detavernier
Dissertation
2024
Toward a synergistic optimization of porous electrode formulation and polysulfide regulation in lithium-sulfur batteries
Saeed Yari
Lowie Henderick
Behnam Ghalami Choobar
Christophe Detavernier
Mohammadhosein Safari
A1
Journal Article
in
SMALL
2024
2023
A step toward correct interpretation of XPS results in metal oxides : a case study aided by first-principles method in ZnO
Jin Li
Michael Nolan
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF CHEMICAL PHYSICS
2023
Atomic layer deposition of yttrium oxide as a protective coating for lithium metal anodes
Bo Zhao
Jin Li
Maxime Guillaume
Véronique Cremers
Lowie Henderick
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
DALTON TRANSACTIONS
2023
Changing the face of phase change memory with Sb2Te3/TiTe2 superlattices
Seppe Van Dyck
Kiumars Aryana
Wouter Devulder
Patrick Hopkins
Pieter Geiregat
Christophe Detavernier
C1
Conference
2023
Colloidal III-V quantum dots in the short-wave infrared : from synthesis to photodetection
Jari Leemans
Zeger Hens
Christophe Detavernier
Günther Roelkens
Dissertation
2023
Crystalline tin disulfide by low-temperature plasma-enhanced 2 atomic layer deposition as an electrode material for Li-ion batteries 3 and CO2 electroreduction
Femi Mathew
Järi Van den Hoek
Nithin Poonkottil
Bo Zhao
Geert Rampelberg
Daniel Choukroun
Jonas Hereijgers
Zeger Hens
Dirk Poelman
Tom Breugelmans
et al.
A1
Journal Article
in
ACS APPLIED ENERGY MATERIALS
2023
Depositing ALD-oxides on MLD-metalcones : enhancing initial growth through O2 plasma densification
Juan Santo-Domingo Peñaranda
Matthias Minjauw
Sofie Vandenbroucke
Robin Petit
Jin Li
Jolien Dendooven
Christophe Detavernier
C3
Conference
2023
Depositing ALD-oxides on MLD-metalcones : enhancing initial growth through O2 plasma densification
Juan Santo-Domingo Peñaranda
Matthias Minjauw
Sofie Vandenbroucke
Robin Petit
Jin Li
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
DALTON TRANSACTIONS
2023
Effects of post metallization annealing on Al2O3 atomic layer deposition on n-GaN
Liad Tadmor
Enrico Brusaterra
Eldad Bahat Treidel
Frank Brunner
Nicole Bickel
Sofie Vandenbroucke
Christophe Detavernier
Joachim Würfl
Oliver Hilt
A1
Journal Article
in
SEMICONDUCTOR SCIENCE AND TECHNOLOGY
2023
Evaluation of Ta-Co alloys as novel high-k extreme ultraviolet mask absorber
Devesh Thakare
Meiyi Wu
Karl Opsomer
Qais Saadeh
Victor Soltwisch
Philipp Naujok
Christophe Detavernier
Davide Dattilo
Markus Foltin
Andy Goodyear
et al.
A1
Journal Article
in
JOURNAL OF MICRO-NANOPATTERNING MATERIALS AND METROLOGY-JM3
2023
High temperature H2S removal via CO2-assisted chemical looping over ZrO2-modified Fe2O3
Jiawei Hu
Hilde Poelman
Stavros-Alexandros Theofanidis
Jonas Joos
Christophe Detavernier
Dirk Poelman
Wei Wei
Vladimir Galvita
A1
Journal Article
in
APPLIED CATALYSIS B-ENVIRONMENTAL
2023
In vacuo XPS study of Al2O3 ALD deposition processes on n-GaN
Sofie Vandenbroucke
Eldad Bahat Treidel
Liad Tadmor
Enrico Brusaterra
Paul Plate
Nicole Bickel
Frank Brunner
Joachim Würfl
Oliver Hilt
Jolien Dendooven
et al.
C3
Conference
2023
Integrated PbS colloidal quantum dot photodiodes on silicon nitride waveguides
Chao Pang
Yuhao Deng
Ezat Kheradmand
Nithin Poonkottil
Robin Petit
Lukas Elsinger
Christophe Detavernier
Pieter Geiregat
Zeger Hens
Dries Van Thourhout
A1
Journal Article
in
ACS PHOTONICS
2023
Integrated spectrometer based on arrayed waveguide grating and PbS colloidal quantum dot photodiode array
Chao Pang
Yuhao Deng
Ezat Kheradmand
Robin Petit
Lukas Elsinger
Christophe Detavernier
Pieter Geiregat
Zeger Hens
Dries Van Thourhout
C1
Conference
2023
Intensifying biomass and solid waste pyrolysis by chemical looping
Michiel Van Cauwelaert
Lukas Buelens
Varun Singh
Guillaume Lambert
Hilde Poelman
Christophe Detavernier
Kevin Van Geem
Vladimir Galvita
C3
Conference
2023
Interrogating metal nanocatalyst sintering at complementary length scales
Matthias Filez
Eduardo Solano Minuesa
Jolien Dendooven
Maarten Roeffaers
Christophe Detavernier
C3
Conference
2023
Low temperature area selective atomic layer deposition of ruthenium dioxide thin films using polymers as inhibition layers
Nithin Poonkottil
Hannes Rijckaert
Khannan Rajendran
Robin Petit
Lisa Martin
Dries Van Thourhout
Isabel Van Driessche
Christophe Detavernier
Jolien Dendooven
A1
Journal Article
in
ADVANCED MATERIALS INTERFACES
2023
Metal nanocatalyst sintering interrogated at complementary length scales
Eduardo Solano
Jolien Dendooven
Davy Deduytsche
Nithin Poonkottil
Ji-Yu Feng
Maarten B. J. Roeffaers
Christophe Detavernier
Matthias Filez
A1
Journal Article
in
SMALL
2023
Metal nanocatalyst sintering interrogated at complementary length scales (Small 5/2023)
Eduardo Solano
Jolien Dendooven
Davy Deduytsche
Nithin Poonkottil
Ji-Yu Feng
Maarten B. J. Roeffaers
Christophe Detavernier
Matthias Filez
Other
2023
Molecular mechanism of low-temperature passive NOx adsorption (PNA) on palladium-loaded FER zeolite
Hannelore Geerts-Claes
Sam Smet
Christoph Hengst
Frank-Walter Schuetze
Elke Verheyen
Matthias Minjauw
Christophe Detavernier
Sreeprasanth Pulinthanathu Sree
Johan Martens
A1
Journal Article
in
JOURNAL OF PHYSICAL CHEMISTRY C
2023
Nanocomposite coatings for the stabilization of quantum dots
Robin Petit
Philippe Smet
Christophe Detavernier
Dissertation
2023
Nanoscale chemical diversity of coke deposits on metal nanocatalysts visualized by tip-enhanced Raman spectroscopy
Matthias Filez
Christophe Detavernier
Hiroshi Uji-I
Maarten Roeffaers
C3
Conference
2023
Plasma-enhanced atomic layer deposition of crystalline Ga2S3 thin films
Femi Mathew
Nithin Poonkottil
Eduardo Solano Minuesa
Dirk Poelman
Zeger Hens
Christophe Detavernier
Jolien Dendooven
A1
Journal Article
in
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
2023
Surface chemistry of InP quantum dots, amine-halide co- passivation, and binding of Z-type ligands
Kim Corinna Dümbgen
Jari Leemans
Vic De Roo
Matthias Minjauw
Christophe Detavernier
Zeger Hens
A1
Journal Article
in
CHEMISTRY OF MATERIALS
2023
Tin and indium sulfide by plasma-enhanced atomic layer deposition for CO2 electroreduction
Femi Mathew
Järi Van Den Hoek
Nithin Poonkottil
Geert Rampelberg
Nick Daems
Jonas Hereijgers
Zeger Hens
Tom Breugelmans
Christophe Detavernier
Jolien Dendooven
C3
Conference
2023
Transient APXPS as a tool to characterize the kinetics of alloy restructuring : application to Sn-poor PtSn ALD-derived nanoparticles
Evgeniy Redekop
E. Kokkonen
S. Urpelainen
J. Knudsen
A. Kluyshin
S. Diplas
Jolien Dendooven
N. Ponkotti
Christophe Detavernier
Vladimir Galvita
et al.
C3
Conference
2023
Tuning CO2 methanation selectivity via MgO/Ni interfacial sites
Yufei Xie
Valentijn De Coster
Lukas Buelens
Hilde Poelman
Bensu Tunca
Jin-Won Seo
Christophe Detavernier
Vladimir Galvita
A1
Journal Article
in
JOURNAL OF CATALYSIS
2023
2022
A combinatorial study of SiGeAsTe thin films for application as an Ovonic threshold switch selector
Wouter Devulder
Daniele Garbin
Sergiu Clima
Gabriele Luca Donadio
Andrea Fantini
Bogdan Govoreanu
Christophe Detavernier
Larry Chen
Michael Miller
Ludovic Goux
et al.
A1
Journal Article
in
THIN SOLID FILMS
2022
Aligning time-resolved kinetics (TAP) and surface spectroscopy (AP-XPS) for a more comprehensive understanding of ALD-derived 2D and 3D model catalysts
Evgeniy A. Redekop
Hilde Poelman
Matthias Filez
Ranjith Karuparambil Ramachandran
Jolien Dendooven
Christophe Detavernier
Guy Marin
Unni Olsbye
Vladimir Galvita
A1
Journal Article
in
FARADAY DISCUSSIONS
2022
An IR spectroscopy study of the degradation of surface bound azido-groups in high vacuum
Sofie Vandenbroucke
Mikko Nisula
Robin Petit
Rita Vos
Karolien Jans
Philippe Vereecken
Jolien Dendooven
Christophe Detavernier
C3
Conference
2022
An in situ photoluminescence study of atomic layer deposition on polymer embedded InP-based quantum dots
Robin Petit
Hannes Van Avermaet
Iurii Babkin
Resul Ozdemir
Christian Clasen
Zeger Hens
Christophe Detavernier
Philippe Smet
C3
Conference
2022
Atomic Layer Deposition for the study of catalysts for water splitting
Ruben Blomme
Rahul Ramesh
Christophe Detavernier
Jolien Dendooven
C3
Conference
2022
Atomic layer deposition derived routes for the synthesis of nanostructured materials
Nithin Poonkottil
Jolien Dendooven
Christophe Detavernier
Eduardo Solano Minuesa
Dissertation
2022
Atomic layer deposition of metal phosphates
Lowie Henderick
Christophe Detavernier
Jolien Dendooven
C3
Conference
2022
Atomic layer deposition of metal phosphates
Lowie Henderick
Arpan Dhara
Andreas Werbrouck
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
APPLIED PHYSICS REVIEWS
2022
Atomic layer deposition of metal phosphates for engineering the interface of lithium ion battery electrodes
Lowie Henderick
Christophe Detavernier
Dissertation
2022
Atomic layer deposition of ruthenium dioxide based on redox reactions between alcohols and ruthenium tetroxide
Nithin Poonkottil
Matthias Minjauw
Andreas Werbrouck
Stefano Checchia
Eduardo Solano
Mikko Nisula
Alexis Franquet
Christophe Detavernier
Jolien Dendooven
A1
Journal Article
in
CHEMISTRY OF MATERIALS
2022
Atomic layer deposition of ruthenium dioxide thin films using RuO4 and alcohols as reactants
Matthias Minjauw
Nithin Poonkottil
Andreas Werbrouck
Christophe Detavernier
Jolien Dendooven
C3
Conference
2022
Atomic layer deposition of ternary ruthenates by combining metalorganic precursors with RuO4 as the co-reactant
Matthias Minjauw
Ji-Yu Feng
Timo Sajavaara
Christophe Detavernier
Jolien Dendooven
A1
Journal Article
in
DALTON TRANSACTIONS
2022
Atomic layer deposition on polymer thin films : on the role of precursor infiltration and reactivity
Robin Petit
Jin Li
Babs Van de Voorde
Sandra Van Vlierberghe
Philippe Smet
Christophe Detavernier
C3
Conference
2022
Colloidal III-V quantum dot photodiodes for short-wave infrared photodetection
Jari Leemans
Vladimir Pejovic
Epimitheas Georgitzikis
Matthias Minjauw
Abu Bakar Siddik
Yuhao Deng
Yinghuan Kuang
Günther Roelkens
Christophe Detavernier
Itai Lieberman
et al.
A1
Journal Article
in
ADVANCED SCIENCE
2022
Depositing ALD-oxides on MLD-metalcones : enhancing initial growth through O2 plasma densification
Juan Santo-Domingo Peñaranda
Matthias Minjauw
Sofie Vandenbroucke
Robin Petit
Jin Li
Jolien Dendooven
Christophe Detavernier
C3
Conference
2022
Determination of optical constants of thin films in the EUV
Richard Ciesielski
Qais Saadeh
Vicky Philipsen
Karl Opsomer
Jean-Philippe Soulie
Meiyi Wu
Philipp Naujok
Robbert W. E. van de Kruijs
Christophe Detavernier
Michael Kolbe
et al.
A1
Journal Article
in
APPLIED OPTICS
2022
Hollow structured Fe-based oxygen carriers for chemical looping CO2 conversion
Bo Jin
Hilde Poelman
Christophe Detavernier
Guy Marin
Vladimir Galvita
C3
Conference
2022
In vacuo XPS investigation of surface engineering for lithium metal anodes with plasma treatment
Bo Zhao
Jin Li
Maxime Guillaume
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF ENERGY CHEMISTRY
2022
Increasing ALD complexity : how to transform ternary oxide films into tunable bimetallic thin films and nanoparticles
Ji-Yu Feng
Matthias Filez
Matthias Minjauw
Eduardo Solano Minuesa
Christophe Detavernier
Jolien Dendooven
C3
Conference
2022
Investigation of protective coatings on LiNi0.5Mn1.5O4 by ALD : from thin-film experimental models to application in composite electrodes
Andrea Itziar Pitillas Martinez
Philippe Vereecken
Christophe Detavernier
Dissertation
2022
Low-temperature plasma-enhanced atomic layer deposition of crystalline tin disulfide thin films
Femi Mathew
Nithin Poonkottil
Ranjith Karuparambil Ramachandran
Bo Zhao
Zeger Hens
Christophe Detavernier
Jolien Dendooven
C3
Conference
2022
Monolithic heterogeneous integration of PbS colloidal quantum dot photodiode on silicon nitride
Chao Pang
Yu-Hao Deng
Ezat Kheradmand
Robin Petit
Lukas Elsinger
Christophe Detavernier
Pieter Geiregat
Zeger Hens
Dries Van Thourhout
C1
Conference
2022
Nanoporous silica-alumina films fabricated on silicon photonic chips for selective ammonia sensing
Yanlu Li
Claudio Bellani
Nebiyu Adello Yebo
Jolien Dendooven
Jin Won Seo
Christophe Detavernier
Roel Baets
Johan A. Martens
Sreeprasanth Pulinthanathu Sree
A1
Journal Article
in
ACS APPLIED NANO MATERIALS
2022
Plasma-enhanced atomic layer deposition of nickel and cobalt phosphate for lithium ion batteries
Lowie Henderick
Ruben Blomme
Matthias Minjauw
Jonas Keukelier
Johan Meersschaut
Jolien Dendooven
Philippe Vereecken
Christophe Detavernier
A1
Journal Article
in
DALTON TRANSACTIONS
2022
Properties of ultrathin molybdenum films for interconnect applications
Valeria Founta
Jean-Philippe Soulie
Kiroubanand Sankaran
Kris Vanstreels
Karl Opsomer
Pierre Morin
Pieter Lagrain
Alexis Franquet
Danielle Vanhaeren
Thierry Conard
et al.
A2
Journal Article
in
MATERIALIA
2022
Research project: Property investigation of Pt clusters deposited by atomic layer deposition
Arnau Basterra Valera
Matthias Filez
Zhiwei Zhang
Jolien Dendooven
Christophe Detavernier
Report
2022
Ruthenium nanostructures via sequential infiltration synthesis in self-assembled diblock copolymer thin films
Nithin Poonkottil
Eduardo Solano Minuesa
Arbresha Muriqi
Michael Nolan
Christophe Detavernier
Jolien Dendooven
C3
Conference
2022
Selective vapor-phase doping of Pt nanoparticles into phase-controlled nanoalloys
Nithin Poonkottil
Ranjith Karuparambil Ramachandran
Eduardo Solano Minuesa
Nadadur Veeraraghavan Srinath
Ji-Yu Feng
Andreas Werbrouck
Michiel Van Daele
Matthias Filez
Matthias Minjauw
Hilde Poelman
et al.
A1
Journal Article
in
JOURNAL OF PHYSICAL CHEMISTRY C
2022
Separate H2 and CO production from CH4-CO2 cycling of Fe-Ni
Bo Jin
Nadadur Veeraraghavan Srinath
Hilde Poelman
Christophe Detavernier
Zhiwu Liang
Guy Marin
Vladimir Galvita
A1
Journal Article
in
AICHE JOURNAL
2022
Shuffling atomic layer deposition gas sequences to modulate bimetallic thin films and nanoparticle properties
Matthias Filez
Ji-Yu Feng
Matthias Minjauw
Eduardo Solano Minuesa
Nithin Poonkottil
Michiel Van Daele
Ranjith Karuparambil Ramachandran
Chen Li
Sara Bals
Hilde Poelman
et al.
A1
Journal Article
in
CHEMISTRY OF MATERIALS
2022
Spatially templated nanolines of Ru and RuO2 by sequential infiltration synthesis
Nithin Poonkottil
Eduardo Solano
Arbresha Muriqi
Matthias Minjauw
Matthias Filez
Michael Nolan
Christophe Detavernier
Jolien Dendooven
A1
Journal Article
in
CHEMISTRY OF MATERIALS
2022
Strategies to produce boron-containing ALD thin films using trimethyl borate precursor : from thermal to plasma to combined-plasma approach
Arpan Dhara
Andreas Werbrouck
Jin Li
Jolien Dendooven
Christophe Detavernier
C3
Conference
2022
Surface reactions between LiHMDS, TMA and TMP leading to deposition of amorphous lithium phosphate
Andreas Werbrouck
Felix Mattelaer
Arpan Dhara
Mikko Nisula
Matthias Minjauw
Frans Munnik
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF MATERIALS CHEMISTRY A
2022
The trap-dominated conduction mechanism in Ge-Se selectors, studied at cryogenic temperatures
Seppe Van Dyck
Jonas Keukelier
Wouter Devulder
Karl Opsomer
Christophe Detavernier
C3
Conference
2022
Thickness matters : sintering inhibition in Pt nanoparticle catalysts via step-by-step control of MgO overcoats
Zhiwei Zhang
Matthias Filez
Matthias Minjauw
Jin Li
Christophe Detavernier
Jolien Dendooven
C3
Conference
2022
Titanium carboxylate MLD hybrid films as protective coatings for lithium-ion batteries
Sofie Vandenbroucke
Lowie Henderick
Louis De Taeye
Jin Li
Karolien Jans
Philippe Vereecken
Jolien Dendooven
Christophe Detavernier
C3
Conference
2022
Titanium carboxylate molecular layer deposited hybrid films as protective coatings for lithium-ion batteries
Sofie Vandenbroucke
Lowie Henderick
Louis L. De Taeye
Jin Li
Karolien Jans
Philippe M. Vereecken
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
ACS APPLIED MATERIALS & INTERFACES
2022
Titanium carboxylate molecular layer deposited hybrid films as protective coatings for lithium-ion batteries
Sofie Vandenbroucke
Lowie Henderick
Louis De Taeye
Jin Li
Karolien Jans
Philippe Vereecken
Jolien Dendooven
Christophe Detavernier
C3
Conference
2022
UV photonic integrated circuits for far-field structured illumination autofluorescence microscopy
Chupao Lin
Juan Santo-Domingo Peñaranda
Jolien Dendooven
Christophe Detavernier
David Schaubroeck
Nico Boon
Roel Baets
Nicolas Le Thomas
A1
Journal Article
in
NATURE COMMUNICATIONS
2022
UV-compatible photonic integrated circuits forlabel-free structured illumination microscopy
Chupao Lin
Juan Santo-Domingo Peñaranda
Jolien Dendooven
Christophe Detavernier
David Schaubroeck
Nico Boon
Roel Baets
Nicolas Le Thomas
C1
Conference
2022
Vapour-phase deposition techniques for lab-on-a-chip devices
Sofie Vandenbroucke
Christophe Detavernier
Karolien Jans
Philippe Vereecken
Dissertation
2022
2021
A limitation map of performance for porous electrodes in lithium-ion batteries
Hamid Hamed
Lowie Henderick
Behnam Ghalami Choobar
Jan D'Haen
Christophe Detavernier
An Hardy
Mohammadhosein Safari
A1
Journal Article
in
ISCIENCE
2021
ALD Pt nanoparticles and thin-film coatings enhancing the stability and performance of silicon photocathodes for solar water splitting
Christos Trompoukis
Ji-Yu Feng
Tom Bosserez
Jan Ronge
Jolien Dendooven
Christophe Detavernier
Roel Baets
Johan A. Martens
A1
Journal Article
in
SUSTAINABLE ENERGY & FUELS
2021
Acid-base mediated ligand exchange on near-infrared absorbing, indium-based III-V colloidal quantum dots
Jari Leemans
Kim Corinna Dümbgen
Matthias Minjauw
Qiang Zhao
Andre Vantomme
Ivan Infante
Christophe Detavernier
Zeger Hens
A1
Journal Article
in
JOURNAL OF THE AMERICAN CHEMICAL SOCIETY
2021
An IR spectroscopy study of the degradation of surface bound azido-groups in high vacuum
Sofie Vandenbroucke
Mikko Nisula
Robin Petit
Rita De Vos
Karolien Jans
Philippe M. Vereecken
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
LANGMUIR
2021
Atomic layer deposition of metal oxides for applications in lithium-ion and lithium metal batteries
Bo Zhao
Christophe Detavernier
Jolien Dendooven
Dissertation
2021
Atomic layer deposition of ruthenium dioxide thin films using RuO4 and alcohols as reactants
Nithin Poonkottil
Matthias Minjauw
Andreas Werbrouck
Christophe Detavernier
Jolien Dendooven
C3
Conference
2021
Atomic layer deposition on polymer thin films : on the role of precursor infiltration and reactivity
Robin Petit
Jin Li
Babs Van de Voorde
Sandra Van Vlierberghe
Philippe Smet
Christophe Detavernier
A1
Journal Article
in
ACS APPLIED MATERIALS & INTERFACES
2021
Atomic layers deposited nano-mechanical resonators for silicon photonics
Khannan Rajendran
Robin Petit
Christophe Detavernier
Dries Van Thourhout
C3
Conference
2021
Characterization of Ru4-xTax (x = 1,2,3) alloy as material candidate for EUV low-n mask
Meiyi Wu
Jean-Francois de Marneffe
Karl Opsomer
Christophe Detavernier
Annelies Delabie
Philipp Naujok
Oezge Caner
Andy Goodyear
Mike Cooke
Qais Saadeh
et al.
A2
Journal Article
in
MICRO AND NANO ENGINEERING
2021
Cobalt and silver nanocoatings for reactor dosimetry
Jonas Vande Pitte
J. Wagemans
A. Gusarov
I. Uytdenhouwen
Johan Lauwaert
Christophe Detavernier
A1
Journal Article
in
APPLIED RADIATION AND ISOTOPES
2021
Controlled graphite surface functionalization using contact and remote photocatalytic oxidation
Niels R. Ostyn
Barbara Thijs
Julian A. Steele
Sreeprasanth Pulinthanathu Sree
Wauter Wangermez
Joan Teyssandier
Matthias Minjauw
Jin Li
Christophe Detavernier
Maarten B. J. Roeffaers
et al.
A1
Journal Article
in
CARBON
2021
Controlled synthesis of Fe-Pt nanoalloys using atomic layer deposition
Ranjith Karuparambil Ramachandran
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
NANOTECHNOLOGY
2021
Converting molecular layer deposited alucone films into Al2O3/alucone hybrid multilayers by plasma densification
Juan Santo-Domingo Peñaranda
Mikko Nisula
Sofie Vandenbroucke
Matthias Minjauw
Jin Li
Andreas Werbrouck
Jonas Keukelier
Andrea Itziar Pitillas Martinez
Jolien Dendooven
Christophe Detavernier
C3
Conference
2021
Converting molecular layer deposited alucone films into Al2O3/alucone hybrid multilayers by plasma densification
Juan Santo-Domingo Peñaranda
Mikko Nisula
Sofie Vandenbroucke
Matthias Minjauw
Jin Li
Andreas Werbrouck
Jonas Keukelier
Andrea Itziar Pitillas Martinez
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
DALTON TRANSACTIONS
2021
Covalent graphite modification by low-temperature photocatalytic oxidation using a titanium dioxide thin film prepared by atomic layer deposition
Niels R. Ostyn
Sreeprasanth Pulinthanathu Sree
Jin Li
Ji-Yu Feng
Maarten B. J. Roeffaers
Steven De Feyter
Jolien Dendooven
Christophe Detavernier
Johan A. Martens
A1
Journal Article
in
CATALYSIS SCIENCE & TECHNOLOGY
2021
Emergence of metallic conductivity in ordered one-dimensional coordination polymer thin films upon reductive doping
Mikko Nisula
Antti. Karttunen
Eduardo Solano Minuesa
Girish Tewari
Maarit Karppinen
Matthias Minjauw
Jena Himanshu
Pascal Van Der Voort
Dirk Poelman
Christophe Detavernier
A1
Journal Article
in
ACS APPLIED MATERIALS & INTERFACES
2021
Heterostructured plasmonic memristors with tunable opto-synaptic functionalities
Mohammad Karbalaei Akbari
Ranjith Karuparambil Ramachandran
Christophe Detavernier
Jie Hu
Joondong Kim
Francis Verpoort
Serge Zhuiykov
A1
Journal Article
in
JOURNAL OF MATERIALS CHEMISTRY C
2021
Impact of changes in bond structure on ovonic threshold switching behaviour in GeSe2
Jonas Keukelier
Karl Opsomer
Thomas Nuytten
Stefanie Sergeant
Wouter Devulder
Sergiu Clima
Ludovic Goux
Gouri Sankar Kar
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF MATERIALS CHEMISTRY C
2021
Impact of changes in bond structure on ovonic threshold switching behaviour in GeSe2 (vol 35, pg 151, 2020)
Jonas Keukelier
Karl Opsomer
Thomas Nuytten
Stefanie Sergeant
Wouter Devulder
Sergiu Clima
Ludovic Goux
Gouri Sankar Kar
Christophe Detavernier
Correction
2021
Improved reactor monitoring for advanced neutron irradiations
Jonas Vande Pitte
Christophe Detavernier
Johan Lauwaert
Inge Uytdenhouwen
Dissertation
2021
In and ex situ characterization of atomic layer deposition processes for lithium-ion battery applications
Andreas Werbrouck
Christophe Detavernier
Jolien Dendooven
Dissertation
2021
In situ study of noble metal atomic layer deposition processes using grazing incidence small angle X-ray scattering
Jolien Dendooven
E. Solano
Ji-Yu Feng
Ranjith Karuparambil Ramachandran
Matthias Minjauw
Michiel Van Daele
A. Coati
D. Hermida-Merino
Christophe Detavernier
C3
Conference
2021
Influence of alumina addition on the optical properties and the thermal stability of titania thin films and inverse opals produced by atomic layer deposition
Martin Waleczek
Jolien Dendooven
Pavel Dyachenko
Alexander Y. Petrov
Manfred Eich
Robert H. Blick
Christophe Detavernier
Kornelius Nielsch
Kaline P. Furlan
Robert Zierold
A1
Journal Article
in
NANOMATERIALS
2021
Ion beam modification of the Ni-Si solid-phase reaction : the influence of substrate damage and nitrogen impurities introduced by ion implantation
K. van Stiphout
Filip Geenen
N. M. Santos
S. M. C. Miranda
V. Joly
J. Demeulemeester
C. Mocuta
C. M. Comrie
Christophe Detavernier
L. M. C. Pereira
et al.
A1
Journal Article
in
JOURNAL OF PHYSICS D-APPLIED PHYSICS
2021
Irradiation temperature monitoring with SiC for RPV steel at low fluence
Jonas Vande Pitte
I. Uytdenhouwen
A. Gusarov
D. Del Serra
S. Van Dyck
Christophe Detavernier
Johan Lauwaert
A1
Journal Article
in
JOURNAL OF NUCLEAR MATERIALS
2021
Microstructured ZrO2 coating of iron oxide for enhanced CO2 conversion
Bo Jin
Hilde Poelman
Christophe Detavernier
Zhiwu Liang
Guy Marin
Vladimir Galvita
A1
Journal Article
in
APPLIED CATALYSIS B-ENVIRONMENTAL
2021
Microwave-assisted synthesis of nanoscale VO2 structures
Matthias Van Zele
Hannes Rijckaert
Laura Van Bossele
Davy Deduytsche
Lenny Van Daele
Emile Drijvers
Christophe Detavernier
Isabel Van Driessche
Klaartje De Buysser
A2
Journal Article
in
OPEN CERAMICS
2021
Photonic integrated alumina waveguide gratings for far-field structured illumination at UV wavelengths
Chupao Lin
Juan Santo-Domingo Peñaranda
Jolien Dendooven
Christophe Detavernier
David Schaubroeck
Roel Baets
Nicolas Le Thomas
P1
Conference
2021
Plasma enhanced atomic layer deposition of a (nitrogen doped) Ti phosphate coating for improved energy storage in Li-ion batteries
Lowie Henderick
Hamid Hamed
Felix Mattelaer
Matthias Minjauw
Mikko Nisula
Johan Meersschaut
Jolien Dendooven
Mohammadhosein Safari
Philippe Vereecken
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF POWER SOURCES
2021
Plasma-enhanced atomic layer deposition : correlating O2 plasma parameters and species to blister formation and conformal film growth
Andreas Werbrouck
Kevin Van de Kerckhove
Diederik Depla
Dirk Poelman
Philippe Smet
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
2021
Pulsed chemical vapor deposition of conformal GeSe for application as an OTS selector
Ali Haider
Shaoren Deng
Wouter Devulder
Jan Willem Maes
Jean-Marc Girard
Gabriel Khalil El Hajjam
Gouri Sankar Kar
Karl Opsomer
Christophe Detavernier
Michael Givens
et al.
A2
Journal Article
in
MATERIALS ADVANCES
2021
Synthesis and deposition of thermochromic VO2 thin films from peroxide-based chemical solutions
Matthias Van Zele
Hannes Rijckaert
Davy Deduytsche
Christophe Detavernier
Isabel Van Driessche
Dirk Poelman
Klaartje De Buysser
Preprint
2021
Tuning of the thermal stability and ovonic threshold switching properties of GeSe with metallic and non-metallic alloying elements
Jonas Keukelier
Karl Opsomer
Wouter Devulder
Sergiu Clima
Ludovic Goux
Gouri Sankar Kar
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2021
Tuning size and coverage of Pd nanoparticles using atomic layer deposition
Ji-Yu Feng
Ranjith Karuparambil Ramachandran
Eduardo Solano Minuesa
Matthias Minjauw
Michiel Van Daele
Andre Vantomme
Daniel Hermida-Merino
Alessandro Coati
Hilde Poelman
Christophe Detavernier
et al.
A1
Journal Article
in
APPLIED SURFACE SCIENCE
2021
U(Mo) grain refinement induced by irradiation with high energy iodine
Daniele Salvato
J. Shi
H. Breitkreutz
W. Van Renterghem
B. Baumeister
C. Schwarz
A. Leenaers
Sven Van den Berghe
Christophe Detavernier
W. Petry
A1
Journal Article
in
JOURNAL OF NUCLEAR MATERIALS
2021
Vapor phase conversion of Pt nanoparticles into Pt-Sn bimetallic nanoparticles
Nithin Poonkottil
Ranjith Karuparambil Ramachandran
Eduardo Solano Minuesa
Nadadur Veeraraghavan Srinath
Ji-Yu Feng
Andreas Werbrouck
Michiel Van Daele
Matthias Minjauw
Matthias Filez
Hilde Poelman
et al.
C3
Conference
2021
Waveguide‐coupled colloidal quantum dot light emitting diodes and detectors on a silicon nitride platform
Lukas Elsinger
Robin Petit
Frederik Van Acker
Natalia Klaudia Zawacka
Ivo Tanghe
Kristiaan Neyts
Christophe Detavernier
Pieter Geiregat
Zeger Hens
Dries Van Thourhout
A1
Journal Article
in
LASER & PHOTONICS REVIEWS
2021
2020
A liquid alkoxide precursor for the atomic layer deposition of aluminum oxide films
Li'ao Cao
Felix Mattelaer
Timo Sajavaara
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
2020
A secondary reaction pathway for the alumina atomic layer deposition process with trimethylaluminum and water, revealed by full-range, time-resolved in situ mass spectrometry
Andreas Werbrouck
Mahdi Shirazi
Felix Mattelaer
Simon D. Elliott
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF PHYSICAL CHEMISTRY C
2020
A waveguide-coupled colloidal quantum dot LED on a silicon nitride platform
Lukas Elsinger
Ivo Tanghe
Frederik Van Acker
Natalia Klaudia Zawacka
Robin Petit
Kristiaan Neyts
Christophe Detavernier
Pieter Geiregat
Zeger Hens
Dries Van Thourhout
P1
Conference
2020
ALD encapsulation of QD-polymer composite films for luminescent applications
Natalia Klaudia Zawacka
Robin Petit
Jakob Kuhs
Philippe Smet
Christophe Detavernier
Zeger Hens
C3
Conference
2020
Area-selective growth and nucleation enhancement during Ru ALD using the RuO4 precursor and H2-gas
Matthias Minjauw
Hannes Rijckaert
Isabel Van Driessche
Christophe Detavernier
Jolien Dendooven
C3
Conference
2020
Atomic Layer Deposition of Ru-containing materials using RuO4 as both the co-reactant and the metal source
Matthias Minjauw
Ji-Yu Feng
Jolien Dendooven
Christophe Detavernier
C3
Conference
2020
Atomic layer deposition of SnO2-based composite anodes for thin-film lithium-ion batteries
Bo Zhao
Arpan Dhara
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
FRONTIERS IN ENERGY RESEARCH
2020
Atomic layer deposition of indium‐tin‐oxide as multifunctional coatings on V2O5 thin‐film model electrode for lithium‐ion batteries
Bo Zhao
Mikko Nisula
Arpan Dhara
Lowie Henderick
Felix Mattelaer
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
ADVANCED MATERIALS INTERFACES
2020
Atomic layer deposition of localized boron- and hydrogen-doped aluminum oxide using trimethyl borate as a dopant precursor
Felix Mattelaer
Michiel Van Daele
Matthias Minjauw
Mikko Nisula
Simon D. Elliott
Timo Sajavaara
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
CHEMISTRY OF MATERIALS
2020
Atomic layer deposition of metal sulphides for the embedding of colloidal quantum dots
Jakob Kuhs
Christophe Detavernier
Zeger Hens
Dissertation
2020
Atomic layer deposition of nitrogen-doped Al phosphate coatings for Li-ion battery applications
Lowie Henderick
Hamid Hamed
Felix Mattelaer
Matthias Minjauw
Johan Meersschaut
Jolien Dendooven
Mohammadhosein Safari
Philippe Vereecken
Christophe Detavernier
A1
Journal Article
in
ACS APPLIED MATERIALS & INTERFACES
2020
Atomic layer deposition of palladium and bimetallic materials
Ji-Yu Feng
Jolien Dendooven
Christophe Detavernier
Hilde Poelman
Dissertation
2020
Atomic layer deposition of platinum and gold
Michiel Van Daele
Christophe Detavernier
Jolien Dendooven
Dissertation
2020
Atomic layer deposition of yttrium oxide films and their properties of water wettability
Bo Zhao
Felix Mattelaer
Geert Rampelberg
Jolien Dendooven
Christophe Detavernier
C3
Conference
2020
Boosting room-temperature magneto-ionics in a non-magnetic oxide semiconductor
Julius de Rojas
Alberto Quintana
Aitor Lopeandia
Joaquin Salguero
Jose L. Costa-Kramer
Llibertat Abad
Maciej O. Liedke
Maik Butterling
Andreas Wagner
Lowie Henderick
et al.
A1
Journal Article
in
ADVANCED FUNCTIONAL MATERIALS
2020
Conformal coating of complex substrates and powders by atomic layer deposition
Véronique Cremers
Christophe Detavernier
Jolien Dendooven
Dissertation
2020
Copper nanocrystals-based conductive inks for printed electronics
Arnau Oliva Puigdomènech
Zeger Hens
Christophe Detavernier
Dissertation
2020
Creation of gallium acid and platinum metal sites in bifunctional zeolite hydroisomerization and hydrocracking catalysts by atomic layer deposition
Lisa Geerts
Ranjith Karuparambil Ramachandran
Jolien Dendooven
Sambhu Radhakrishnan
Jin Won Seo
Christophe Detavernier
Johan Martens
Sreeprasanth Pulinthanathu Sree
A1
Journal Article
in
CATALYSIS SCIENCE & TECHNOLOGY
2020
Design of Li-containing layers with LiHMDS
Andreas Werbrouck
Felix Mattelaer
Matthias Minjauw
Frans Munnik
Jaakko Julin
Jolien Dendooven
Christophe Detavernier
C3
Conference
2020
Designing nanoparticles and nanoalloys for gas-phase catalysis with controlled surface reactivity using colloidal synthesis and atomic layer deposition
Valentijn De Coster
Hilde Poelman
Jolien Dendooven
Christophe Detavernier
Vladimir Galvita
A1
Journal Article
in
MOLECULES
2020
Effect of Rh in Ni-based catalysts on sulfur impurities during methane reforming
Stavros-Alexandros Theofanidis
Johannis A. Z. Pieterse
Hilde Poelman
Alessandro Longo
Maarten Sabbe
Mirella Virginie
Christophe Detavernier
Guy Marin
Vladimir Galvita
A1
Journal Article
in
APPLIED CATALYSIS B-ENVIRONMENTAL
2020
FeO controls the sintering of iron-based oxygen carriers in chemical looping CO2 conversion
Jiawei Hu
Hilde Poelman
Guy Marin
Christophe Detavernier
Sibudjing Kawi
Vladimir Galvita
A1
Journal Article
in
JOURNAL OF CO2 UTILIZATION
2020
Formation and preferential orientation of Au-free Al/Ti-based ohmic contacts on different hexagonal nitride-based heterostructures
Filip Geenen
A. Constant
Eduardo Solano Minuesa
Davy Deduytsche
C. Mocuta
P. Coppens
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2020
Functionalization of nanostructured tungsten oxide thin films for nanodevices : from optoelectronics, ionics, to iontronics
Zhenyin Hai
Christophe Detavernier
Serge Zhuiykov
Dissertation
2020
Hierarchical Fe-modified MgAl2O4 as Ni-catalyst support for methane dry reforming
Hao Wang
Nadadur Veeraraghavan Srinath
Hilde Poelman
Christophe Detavernier
Ping Li
Guy Marin
Vladimir Galvita
A1
Journal Article
in
CATALYSIS SCIENCE & TECHNOLOGY
2020
In situ characterization of quantum dot photoluminescence during atomic layer deposition: towards stable Cd-free QD-based devices
Robin Petit
Natalia Klaudia Zawacka
Jakob Kuhs
Philippe Smet
Zeger Hens
Christophe Detavernier
C3
Conference
2020
In situ study of the thermal stability of supported Pt nanoparticles and their stabilization via atomic layer deposition overcoating
Eduardo Solano Minuesa
Jolien Dendooven
Ji-Yu Feng
Philipp Bruener
Matthias Minjauw
Ranjith Karuparambil Ramachandran
Michiel Van Daele
Kevin Van de Kerckhove
Thomas Dobbelaere
Alessandro Coati
et al.
A1
Journal Article
in
NANOSCALE
2020
Mitigation of photon background in nanoplasmonic all-on-chip Raman sensors
Kristof Reynkens
Stéphane Clemmen
Ali Raza
Haolan Zhao
Juan Santo-Domingo Peñaranda
Christophe Detavernier
Roel Baets
A1
Journal Article
in
OPTICS EXPRESS
2020
Molecular layer deposition of 'magnesicone', a magnesium-based hybrid material
Jeroen Kint
Felix Mattelaer
Sofie Vandenbroucke
Arbersha Muriqi
Matthias Minjauw
Mikko Nisula
Philippe M. Vereecken
Michael Nolan
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
CHEMISTRY OF MATERIALS
2020
Nitrogen doping of Al- and Ti-phosphate through plasma-enhanced ALD
Lowie Henderick
Hamid Hamed
Felix Mattelaer
Matthias Minjauw
Momo Safari
Philippe Vereecken
Christophe Detavernier
C3
Conference
2020
Ovonic threshold-switching GexSey chalcogenide materials : stoichiometry, trap nature, and material relaxation from first principles
Sergiu Clima
Daniele Garbin
Karl Opsomer
Naga S. Avasarala
Wouter Devulder
Ilya Shlyakhov
Jonas Keukelier
Gabriele L. Donadio
Thomas Witters
Shreya Kundu
et al.
A1
Journal Article
in
PHYSICA STATUS SOLIDI-RAPID RESEARCH LETTERS
2020
Photocatalytic lithography with atomic layer deposited TiO2 films to tailor biointerface properties
Sofie Vandenbroucke
Felix Mattelaer
Karolien Jans
Christophe Detavernier
Tim Stakenborg
Rita Vos
C3
Conference
2020
Plasmonic gold-embedded TiO2 thin films as photocatalytic self-cleaning coatings
Hannelore Peeters
Maarten Keulemans
Gert Nuyts
Frederik Vanmeert
Chen Li
Matthias Minjauw
Christophe Detavernier
Sara Bals
Silvia Lenaerts
Sammy W. Verbruggen
A1
Journal Article
in
APPLIED CATALYSIS B-ENVIRONMENTAL
2020
Reaction mechanism of the Me3AuPMe3-H-2 plasma-enhanced ALD process
Michiel Van Daele
Matthew B. E. Griffiths
Matthias Minjauw
Sean T. Barry
Christophe Detavernier
Jolien Dendooven
A1
Journal Article
in
PHYSICAL CHEMISTRY CHEMICAL PHYSICS
2020
Reaction pathways for atomic layer deposition with lithium hexamethyl disilazide, trimethyl phosphate, and oxygen plasma
Andreas Werbrouck
Felix Mattelaer
Matthias Minjauw
Mikko Nisula
Jaakko Julin
Frans Munnik
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF PHYSICAL CHEMISTRY C
2020
Study of the surface species during thermal and plasma-enhanced atomic layer deposition of titanium oxide films using in situ IR-spectroscopy and in vacuo X-ray photoelectron spectroscopy
Sofie Vandenbroucke
Elisabeth Levrau
Matthias Minjauw
Michiel Van Daele
Eduardo Solano Minuesa
Rita Vos
Jolien Dendooven
Christophe Detavernier
C3
Conference
2020
Study of the surface species during thermal and plasma-enhanced atomic layer deposition of titanium oxide films using in situ IR-spectroscopy and in vacuo X-ray photoelectron spectroscopy
Sofie Vandenbroucke
Elisabeth Levrau
Matthias Minjauw
Michiel Van Daele
Eduardo Solano Minuesa
Rita Vos
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
PHYSICAL CHEMISTRY CHEMICAL PHYSICS
2020
Surface mobility and impact of precursor dosing during atomic layer deposition of platinum : in situ monitoring of nucleation and island growth
Jolien Dendooven
Michiel Van Daele
Eduardo Solano
Ranjith Karuparambil Ramachandran
Matthias Minjauw
Andrea Resta
Alina Vlad
Yves Garreau
Alessandro Coati
Giuseppe Portale
et al.
A1
Journal Article
in
PHYSICAL CHEMISTRY CHEMICAL PHYSICS
2020
The co-reactant role during plasma enhanced atomic layer deposition of palladium
Ji-Yu Feng
Matthias Minjauw
Ranjith Karuparambil Ramachandran
Michiel Van Daele
Hilde Poelman
Timo Sajavaara
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
PHYSICAL CHEMISTRY CHEMICAL PHYSICS
2020
The influence of fission products on the microstructural evolution of UMo based fuel systems : (nano)bubbles, interaction layers and recrystallization
Daniele Salvato
Christophe Detavernier
Sven Van den Berghe
Ann Leenaers
Dissertation
2020
The initial formation stages of a nanobubble lattice in neutron irradiated U(Mo)
Daniele Salvato
A. Leenaers
W. Van Renterghem
Sven Van den Berghe
Christophe Detavernier
J. H. Evans
A1
Journal Article
in
JOURNAL OF NUCLEAR MATERIALS
2020
Thermal and plasma-enhanced atomic layer deposition of yttrium oxide films and the properties of water wettability
Bo Zhao
Felix Mattelaer
Geert Rampelberg
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
ACS APPLIED MATERIALS & INTERFACES
2020
Ultra-thin oxide semiconductors for optoelectronic and bioinspired optoelectronic applications
Mohammad Karbalaei Akbari
Serge Zhuiykov
Christophe Detavernier
Dissertation
2020
Use of neutron absorbers to influence the neutron transmutation doping process in silicon
Jonas Vande Pitte
J. Wagemans
A. Gusarov
I. Uytdenhouwen
Christophe Detavernier
Johan Lauwaert
A1
Journal Article
in
NUCLEAR TECHNOLOGY
2020
Waveguide-based detection of protease activity using surface-enhanced Raman spectroscopy
Nina Turk
Ali Raza
Pieter Wuytens
Hans Demol
Michiel Van Daele
Christophe Detavernier
Andre Skirtach
Kris Gevaert
Roel Baets
C1
Conference
2020
Waveguide-based surface-enhanced Raman spectroscopy detection of protease activity using non-natural aromatic amino acids
Nina Turk
Ali Raza
Pieter Wuytens
Hans Demol
Michiel Van Daele
Christophe Detavernier
Andre Skirtach
Kris Gevaert
Roel Baets
A1
Journal Article
in
BIOMEDICAL OPTICS EXPRESS
2020
2019
3D LiMn2O4 thin-film electrodes for high rate all solid-state lithium and Li-ion microbatteries
Nouha Labyedh
Felix Mattelaer
Christophe Detavernier
Philippe M Vereecken
A1
Journal Article
in
JOURNAL OF MATERIALS CHEMISTRY A
2019
Aluminum tri-isopropoxide as an alternative precursor for atomic layer deposition of aluminum oxide thin films
Fatemeh SM Hashemi
Li'ao Cao
Felix Mattelaer
Timo Sajavaara
J Ruud van Ommen
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
2019
Assessing stability of metal tellurides as alternative photomask materials for extreme ultraviolet lithography
Vu Luong
Vicky Philipsen
Karl Opsomer
Jens Rip
Eric Hendrickx
Marc Heyns
Christophe Detavernier
Christian Laubis
Frank Scholze
A1
Journal Article
in
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B
2019
Atomic layer deposition of Al2O3 using aluminum triisopropoxide (ATIP) : a combined experimental and theoretical study
Ba Tai Truong
Li'ao Cao
Felix Mattelaer
Geert Rampelberg
Fatemeh SM Hashemi
Jolien Dendooven
J Ruud van Ommen
Christophe Detavernier
Marie-Françoise Reyniers
A1
Journal Article
in
JOURNAL OF PHYSICAL CHEMISTRY C
2019
Atomic layer deposition of ZnO-SnO2 composite thin film : the influence of structure, composition and crystallinity on lithium-ion battery performance
Bo Zhao
Felix Mattelaer
Jeroen Kint
Andreas Werbrouck
Lowie Henderick
Matthias Minjauw
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
ELECTROCHIMICA ACTA
2019
Atomic layer deposition of localised boron- and hydrogen-doped aluminium oxide using trimethyl borate as a dopant precursor
Felix Mattelaer
Michiel Van Daele
Matthias Minjauw
Timo Sajavaara
Jolien Dendooven
Christophe Detavernier
C3
Conference
2019
Atomic layer deposition of ruthenium and silver
Matthias Minjauw
Christophe Detavernier
Jolien Dendooven
Dissertation
2019
Atomic layer deposition of thin films as model electrodes : a case study of the synergistic effect in Fe2O3-SnO2
Jeroen Kint
Felix Mattelaer
Matthias Minjauw
Bo Zhao
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
2019
Atomic layer deposition of vanadium oxides : process and application review
V. P. Prasadam
N. Bahlawane
Felix Mattelaer
Geert Rampelberg
Christophe Detavernier
L. Fang
Y. Jiang
K. Martens
I. P. Parkin
I. Papakonstantinou
A1
Journal Article
in
MATERIALS TODAY CHEMISTRY
2019
Bifunctional earth-abundant phosphate/phosphide catalysts prepared via atomic layer deposition for electrocatalytic water splitting
Jan Rongé
Thomas Dobbelaere
Lowie Henderick
Matthias Minjauw
Sreeprasanth Pulinthanathu Sree
Jolien Dendooven
Johan A Martens
Christophe Detavernier
A1
Journal Article
in
NANOSCALE ADVANCES
2019
CO2 sorption properties of Li4SiO4 with a Li2ZrO3 coating
Lukas Buelens
Hilde Poelman
Christophe Detavernier
Guy Marin
Vladimir Galvita
A1
Journal Article
in
JOURNAL OF CO2 UTILIZATION
2019
Characterization of β-silicon carbide and potential use as irradiation temperature monitor
Jonas Vande Pitte
Christophe Detavernier
Johan Lauwaert
Inge Uytdenhouwen
Andrei Gusarov
Jan Wagemans
C1
Conference
2019
Chemical and structural configuration of Pt-doped metal oxide thin films prepared by atomic layer deposition
Ranjith Karuparambil Ramachandran
Matthias Filez
Eduardo Solano Minuesa
Hilde Poelman
Matthias Minjauw
Michiel Van Daele
Ji-Yu Feng
Andrea La Porta
Thomas Altantzis
Emiliano Fonda
et al.
A1
Journal Article
in
CHEMISTRY OF MATERIALS
2019
Chemical selectivity and nucleation during ALD of Ru with the RuO4 precursor
Matthias Minjauw
Hannes Rijckaert
Isabel Van Driessche
Christophe Detavernier
Jolien Dendooven
C3
Conference
2019
Comparison of free-space and waveguide-based SERS platforms
Nina Turk
Ali Raza
Pieter Wuytens
Hans Demol
Michiel Van Daele
Christophe Detavernier
Andre Skirtach
Kris Gevaert
Roel Baets
A1
Journal Article
in
NANOMATERIALS
2019
Composition optimization and device understanding of Si-Ge-As-Te ovonic threshold switch selector with excellent endurance
D. Garbin
W. Devulder
R. Degraeve
G. L. Donadio
S. Clima
K. Opsomer
A. Fantini
D. Cellier
W. G. Kim
M. Pakala
et al.
P1
Conference
2019
Corrosion protection of Cu by atomic layer deposition
Véronique Cremers
Geert Rampelberg
Kitty Baert
Shoshan Abrahami
Nathalie Claes
Thais Milagres de Oliveira
Herman Terryn
Sara Bals
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
2019
Cost-reducing PE-ALD processes for pure and doped SiO2 thin films
Geert Rampelberg
Véronique Cremers
Andreas Werbrouck
Jolien Dendooven
Christophe Detavernier
C3
Conference
2019
Cost-reducing PE-ALD processes for pure and doped SiO2 thin films
Geert Rampelberg
Véronique Cremers
Andreas Werbrouck
Jolien Dendooven
Christophe Detavernier
C3
Conference
2019
Doped and un-doped GeSe2 for OTS : Raman spectroscopy and electrical measurements
Jonas Keukelier
Karl Opsomer
Thomas Nuytten
Ludovic Goux
Gouri Sankar Kar
Christophe Detavernier
C1
Conference
2019
Effectiveness of ligand denticity-dependent oxidation protection in copper MOD inks
W Marchal
Felix Mattelaer
Kristof Van Hecke
V Briois
A Longo
D Reenaers
K Elen
Christophe Detavernier
W Deferme
MK Van Bael
et al.
A1
Journal Article
in
LANGMUIR
2019
Electron transfer and near-field mechanisms in plasmonic gold-nanoparticle-modified TiO2 photocatalytic systems
Ramesh Asapu
Nathalie Claes
Radu-George Ciocarlan
Matthias Minjauw
Christophe Detavernier
Pegie Cool
Sara Bals
Sammy W. Verbruggen
A1
Journal Article
in
ACS APPLIED NANO MATERIALS
2019
Epitaxial quantum dot superlattices : forcing connections to improve processing and properties
Willem Walravens
Zeger Hens
Christophe Detavernier
Günther Roelkens
Dissertation
2019
Fe2O3-MgAl2O4 for CO production from CO2 : Mössbauer spectroscopy and in situ X-ray diffraction
Lukas Buelens
Toon Van Alboom
Hilde Poelman
Christophe Detavernier
Guy Marin
Vladimir Galvita
A1
Journal Article
in
ACS SUSTAINABLE CHEMISTRY & ENGINEERING
2019
Fingerprinting of ALD reaction products with time-resolved in situ mass spectrometry
Andreas Werbrouck
Felix Mattelaer
Jolien Dendooven
Christophe Detavernier
C3
Conference
2019
Formation and functioning of bimetallic nanocatalysts : the power of X-ray probes
Matthias Filez
Evgeniy A Redekop
Jolien Dendooven
Ranjith Karuparambil Ramachandran
Eduardo Solano
Unni Olsbye
Bert M Weckhuysen
Vladimir Galvita
Hilde Poelman
Christophe Detavernier
et al.
A1
Journal Article
in
ANGEWANDTE CHEMIE-INTERNATIONAL EDITION
2019
Impact of atomic layer deposition on the photoluminescence of colloidal quantum dots
Jakob Kuhs
Andreas Werbrouck
Natalia Klaudia Zawacka
Emile Drijvers
Philippe Smet
Zeger Hens
Christophe Detavernier
C3
Conference
2019
Impurity-enhanced solid-state amorphization : the Ni-Si thin film reaction altered by nitrogen
Koen van Stiphout
Filip Geenen
Nuno M Santos
Sergio MC Miranda
Vincent Joly
J Demeulemeester
Christophe Detavernier
Felipe Kremer
Lino MC Pereira
Kristiaan Temst
et al.
A1
Journal Article
in
JOURNAL OF PHYSICS D-APPLIED PHYSICS
2019
In situ X-ray based characterization of atomic layer deposition
Matthias Minjauw
Christophe Detavernier
Jolien Dendooven
C3
Conference
2019
In situ photoluminescence of colloidal quantum dots during gas exposure : the role of water and reactive atomic layer deposition precursors
Jakob Kuhs
Andreas Werbrouck
Natalia Klaudia Zawacka
Emile Drijvers
Philippe Smet
Zeger Hens
Christophe Detavernier
A1
Journal Article
in
ACS APPLIED MATERIALS & INTERFACES
2019
Integrated silicon nitride electro-optic modulators with atomic layer deposited overlays
Artur Hermans
Michiel Van Daele
Jolien Dendooven
Stéphane Clemmen
Christophe Detavernier
Roel Baets
A1
Journal Article
in
OPTICS LETTERS
2019
Kinetic and thermodynamic description of intermediary phases formation in Ti-Al system during reactive sintering
Andrea Skolakova
Jindrich Leitner
Pavel Salvetr
Pavel Novak
Davy Deduytsche
Jaromir Kopecek
Christophe Detavernier
Dalibor Vojtech
A1
Journal Article
in
MATERIALS CHEMISTRY AND PHYSICS
2019
Kinetics of lifetime changes in bimetallic nanocatalysts revealed by quick X-ray absorption spectroscopy
Matthias Filez
Hilde Poelman
Evgeniy Redekop
Vladimir Galvita
Konstantinos Alexopoulos
Maria Meldina
Ranjith Ramachandran
Jolien Dendooven
Christophe Detavernier
Gustaaf Van Tendeloo
et al.
C3
Conference
2019
Ligand binding to copper nanocrystals : amines and carboxylic acids and the role of surface oxides
Arnau Oliva Puigdomènech
Jonathan De Roo
Jakob Kuhs
Christophe Detavernier
José Martins
Zeger Hens
A1
Journal Article
in
CHEMISTRY OF MATERIALS
2019
Mask absorber development to enable next-generation EUVL
Vicky Philipsen
Kim Vu Luong
Karl Opsomer
Laurent Souriau
Jens Rip
Christophe Detavernier
Andreas Erdmann
Peter Evanschitzky
Christian Laubis
Philipp Hoenicke
et al.
P1
Conference
2019
Micro-Transfer-Printing of Al2O3-Capped Short-Wave-Infrared PbS Quantum Dot Photoconductors
Nayyera Mahmoud
Willem Walravens
Jakob Kuhs
Christophe Detavernier
Zeger Hens
Günther Roelkens
A1
Journal Article
in
ACS APPLIED NANO MATERIALS
2019
Molecular layer deposition for applications in lithium ion batteries
Kevin Van de Kerckhove
Jolien Dendooven
Christophe Detavernier
Dissertation
2019
Multi-spectral SWIR PbS quantum dot pixels realized using transfer printing
Nayyera Mahmoud
Willem Walravens
Robin Petit
Michiel Van Daele
Christophe Detavernier
Zeger Hens
Günther Roelkens
C1
Conference
2019
Near room temperature plasma enhanced atomic layer deposition of gold metal
Michiel Van Daele
Matthew BE Griffiths
Ali Raza
Matthias Minjauw
Seán T Barry
Roel Baets
Christophe Detavernier
Jolien Dendooven
C3
Conference
2019
Near room temperature plasma enhanced atomic layer deposition of gold metal
Michiel Van Daele
Matthew BE Griffiths
Ali Raza
Matthias Minjauw
Seán T Barry
Roel Baets
Christophe Detavernier
Jolien Dendooven
C3
Conference
2019
Nitrogen doping of Al- and Ti-phosphate through plasma-enhanced ALD
Lowie Henderick
Felix Mattelaer
Matthias Minjauw
Christophe Detavernier
C3
Conference
2019
Novel thin-film solid nanocomposite electrolyte for lithium-Ion batteries by combined MLD and ALD
Simon Hollevoet
Knut Bjarne Gandrud
Marina Y. Timmermans
Brecht Put
Yousra El Ajjouri
Kevin Van de Kerckhove
Christophe Detavernier
Maarten Mees
Philippe M. Vereecken
A1
Journal Article
in
ADVANCED MATERIALS INTERFACES
2019
Nucleation enhancement and area-selective atomic layer deposition of ruthenium using RuO4 and H2 gas
Matthias Minjauw
Hannes Rijckaert
Isabel Van Driessche
Christophe Detavernier
Jolien Dendooven
A1
Journal Article
in
CHEMISTRY OF MATERIALS
2019
On-chip surface enhanced raman spectroscopy using ALD grown plasmonic nanotrenches integrated with a silicon nitride slot waveguide
Ali Raza
Stéphane Clemmen
Michiel Van Daele
Jolien Dendooven
Matthew BE Griffiths
Sean T Barry
Andre Skirtach
Christophe Detavernier
Roel Baets
C1
Conference
2019
Photocatalytic lithography with atomic layer-deposited TiO2 films to tailor biointerface properties
Sofie Vandenbroucke
Felix Mattelaer
Karolien Jans
Christophe Detavernier
Tim Stakenborg
Rita Vos
A1
Journal Article
in
ADVANCED MATERIALS INTERFACES
2019
Plasma enhanced atomic layer deposition of gallium sulfide thin films
Jakob Kuhs
Zeger Hens
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
2019
Plasma-enhanced atomic layer deposition of nanostructured gold near room temperature
Michiel Van Daele
Matthew BE Griffiths
Ali Raza
Matthias Minjauw
Eduardo Solano
Ji-Yu Feng
Ranjith Karuparambil Ramachandran
Stéphane Clemmen
Roel Baets
Seán T Barry
et al.
A1
Journal Article
in
ACS APPLIED MATERIALS & INTERFACES
2019
Pressure-induced deactivation of core-shell nanomaterials for catalyst assisted chemical looping
Jiawei Hu
Vladimir Galvita
Hilde Poelman
Christophe Detavernier
Guy Marin
A1
Journal Article
in
APPLIED CATALYSIS B-ENVIRONMENTAL
2019
Redox layer deposition of thin films of MnO2 on nanostructured substrates from qqueous solutions
Stanislaw P Zankowski
Laurens van Hoecke
Felix Mattelaer
Marc de Raedt
Olivier Richard
Christophe Detavernier
Philippe M Vereecken
A1
Journal Article
in
CHEMISTRY OF MATERIALS
2019
Selective electroless deposition of cobalt using amino-terminated SAMs
Ivan Zyulkov
Silvia Armini
Karl Opsomer
Christophe Detavernier
Stefan De Gendt
A1
Journal Article
in
JOURNAL OF MATERIALS CHEMISTRY C
2019
Setting carriers free : healing faulty interfaces promotes delocalization and transport in nanocrystal solids
Willem Walravens
Eduardo Solano
Filip Geenen
Jolien Dendooven
Oleg Gorobtsov
Athmane Tadjine
Nayyera Mahmoud
Patrick Peiwen Ding
Jacob P. C. Ruff
Andrej Singer
et al.
A1
Journal Article
in
ACS NANO
2019
Sonochemical functionalization of the low-dimensional surface oxide of Galinstan for heterostructured optoelectronic applications
Mohammad Karbalaei Akbari
Zhenyin Hai
Zihan Wei
Ranjith Karuparambil Ramachandran
Christophe Detavernier
Malkeshkumar Patel
Joondong Kim
Francis Verpoort
Hongliang Lu
Serge Zhuiykov
A1
Journal Article
in
JOURNAL OF MATERIALS CHEMISTRY C
2019
Stabilizing fluoride phosphors : surface modification by atomic layer deposition
Reinert Verstraete
Geert Rampelberg
Hannes Rijckaert
Isabel Van Driessche
Elizabeth Coetsee
Mart-Mari Duvenhage
Philippe Smet
Christophe Detavernier
Hendrik Swart
Dirk Poelman
A1
Journal Article
in
CHEMISTRY OF MATERIALS
2019
Stabilizing red fluoride phosphors for white LEDs using atomic layer deposition
Reinert Verstraete
Hannes Rijckaert
Geert Rampelberg
Elizabeth Coetsee
Mart-Mari Duvenhage
Christophe Detavernier
Hendrik Swart
Philippe Smet
Dirk Poelman
C3
Conference
2019
Surface reactions during thermal and plasma-enhanced atomic layer deposition of titanium dioxide films using tetrakis(dimethylamino)titanium
Sofie Vandenbroucke
Elisabeth Levrau
Matthias Minjauw
Kevin Van de Kerckhove
Kilian Devloo-Casier
Sreeprasanth Pulinthanathu Sree
Johan A Martens
Rita Vos
Karolien Jans
Jolien Dendooven
et al.
C3
Conference
2019
Surface treatment of fluoride phosphors using atomic layer deposition
Reinert Verstraete
Hannes Rijckaert
Geert Rampelberg
Elizabeth Coetsee
Mart-Mari Duvenhage
Christophe Detavernier
Hendrik Swart
Philippe Smet
Dirk Poelman
C3
Conference
2019
Switchable Piezoresistive SmS thin films on large area
Andreas Sousanis
Dirk Poelman
Christophe Detavernier
Philippe Smet
A1
Journal Article
in
SENSORS
2019
Time-resolved in-situ mass spectrometry for monitoring and identifying reaction products in ALD processes
Andreas Werbrouck
Felix Mattelaer
Jolien Dendooven
Christophe Detavernier
C3
Conference
2019
Ultra-thin sub-10 nm Ga2O3-WO3 heterostructures developed by atomic layer deposition for sensitive and selective C2H5OH detection on ppm level
Zihan Wei
Mohammad Karbalaei Akbari
Zhenyin Hai
Ranjith Karuparambil Ramachandran
Christophe Detavernier
Francis Verpoort
Eugene Kats
Hongyan Xu
Jie Hu
Serge Zhuiykov
A1
Journal Article
in
SENSORS AND ACTUATORS B-CHEMICAL
2019
Wafer-scale fabrication of sub-10 nm TiO2-Ga2O3 n-p heterojunctions with efficient photocatalytic activity by atomic layer deposition
Hongyan Xu
Feng Han
Chengkai Xia
Siyan Wang
Ranjith Karuparambil Ramachandran
Christophe Detavernier
Minsong Wei
Liwei Lin
Serge Zhuiykov
A1
Journal Article
in
NANOSCALE RESEARCH LETTERS
2019
Wafer-scale fabrication of sub-10nm TiO2-Ga2O3 n-p heterojunctions with efficient photocatalytic activity by atomic layer deposition (vol 14, 163, 2019)
Hongyan Xu
Feng Han
Chengkai Xia
Siyan Wang
Ranjith Karuparambil Ramachandran
Christophe Detavernier
Minsong Wei
Liwei Lin
Serge Zhuiykov
Correction
2019
2018
A Synchrotron’s View on Birth, Life and Death of Pt-In Catalysts
Hilde Poelman
Matthias Filez
Evgeniy Redekop
Vladimir Galvita
M. Meledina
G. Van Tendeloo
Ranjith Karuparambil Ramachandran
Jolien Dendooven
Christophe Detavernier
Guy Marin
C3
Conference
2018
ALD assisted nanoplasmonic slot waveguide for on-chip enhanced Raman spectroscopy
Ali Raza
Stéphane Clemmen
Pieter Wuytens
Muhammad Muneeb
Michiel Van Daele
Jolien Dendooven
Christophe Detavernier
Andre Skirtach
Roel Baets
A1
Journal Article
in
APL PHOTONICS
2018
ALD deposited thin films as model electrodes : a case study of the synergistic effect in Fe2O3-SnO2
Jeroen Kint
Felix Mattelaer
Christophe Detavernier
C3
Conference
2018
ALD deposited thin films as model electrodes : a case study of the synergistic effect in Fe2O3-SnO2
Jeroen Kint
Felix Mattelaer
Christophe Detavernier
C3
Conference
2018
ALD-developed plasmonic two-dimensional Au-WO3-TiO2 heterojunction architectonics for design of photovoltaic devices
Mohammad Karbalaei Akbari
Zhenyin Hai
Zihan Wei
Christophe Detavernier
Eduardo Solano Minuesa
Francis Verpoort
Serge Zhuiykov
A1
Journal Article
in
ACS APPLIED MATERIALS & INTERFACES
2018
Annealing of thin 'Tincone' films, a tin-based hybrid material deposited by molecular layer deposition, in reducing, inert, and oxidizing atmospheres
Kevin Van de Kerckhove
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
2018
Atomic layer deposition for interface engineering of (thin-film) lithium-ion battery
Felix Mattelaer
Marina Y Timmermans
Philippe M Vereecken
Jolien Dendooven
Christophe Detavernier
C3
Conference
2018
Axiotaxy and epitaxial textures in C54-TiSi2 films on Si(001) and Si(111) substrates
Filip Geenen
J Jordan-Sweet
C Lavoie
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF PHYSICS D-APPLIED PHYSICS
2018
Bifunctional Co- and Ni-ferrites for catalyst-assisted chemical looping with alcohols
Aditya Dharanipragada
Vladimir Galvita
Hilde Poelman
Lukas Buelens
Christophe Detavernier
Guy Marin
A1
Journal Article
in
APPLIED CATALYSIS B-ENVIRONMENTAL
2018
Biocompatible ALD coatings as a protective barrier for copper
Sofie Vandenbroucke
Olga Krylychkina
Felix Mattelaer
Philippe Vereecken
Tim Stakenborg
Christophe Detavernier
C3
Conference
2018
Catalyst-assisted chemical looping auto-thermal dry reforming : spatial structuring effects on process efficiency
Jiawei Hu
Vladimir Galvita
Hilde Poelman
Christophe Detavernier
Guy Marin
A1
Journal Article
in
APPLIED CATALYSIS B-ENVIRONMENTAL
2018
Controlling the formation and stability of ultra-thin nickel silicides : an alloying strategy for preventing agglomeration
Filip Geenen
K van Stiphout
A Nanakoudis
S Bals
A Vantomme
J Jordan-Sweet
C Lavoie
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2018
Developing of photo-thermally stable QD-polymer composite films for luminescent applications
Natalia Klaudia Zawacka
Jakob Kuhs
Christophe Detavernier
Philippe Smet
Zeger Hens
C3
Conference
2018
E-beam-lithography free plasmonic slot waveguides for on-chip Raman spectroscopy
Ali Raza
Michiel Van Daele
Pieter Wuytens
Jolien Dendooven
Christophe Detavernier
Stéphane Clemmen
Roel Baets
P1
Conference
2018
Effect of Rh-Ni based catalysts during reforming of biomass gasification gas
Nadadur Veeraraghavan Srinath
Stavros-Alexandros Theofanidis
Vladimir Galvita
Hilde Poelman
A. Longo
J.A.Z. Pieterse
Christophe Detavernier
Guy Marin
C3
Conference
2018
Effect of annealing ferroelectric HfO2 thin films : in situ, high temperature X-ray diffraction
Min Hyuk Park
Ching-Chang Chung
Tony Schenk
Claudia Richter
Karl Opsomer
Christophe Detavernier
Christoph Adelmann
Jacob L Jones
Thomas Mikolajick
Uwe Schroeder
A1
Journal Article
in
ADVANCED ELECTRONIC MATERIALS
2018
Effect of composition and preparation of supported MoO3 catalysts for anisole hydrodeoxygenation
Chanakya Ranga
Rune Lødeng
Vaios Alexiadis
Tapas Rajkhowa
Hilde Bjørkan
Svatopluk Chytil
Ingeborg H Svenum
John Walmsley
Christophe Detavernier
Hilde Poelman
et al.
A1
Journal Article
in
CHEMICAL ENGINEERING JOURNAL
2018
Effect of thermal annealing and chemical treatments on secondary electron emission properties of atomic layer deposited MgO
Violeta Prodanovic
Hong Wah Chan
Anil U Mane
Jeffrey W Elam
Matthias Minjauw
Christophe Detavernier
Harry van der Graaf
Pasqualina M. Sarro
A1
Journal Article
in
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
2018
Electrodeposition of adherent MnO2 films with optimized current collector interface for 3D Li-ion electrodes
Philippe M Vereecken
Marina Y Timmermans
Felix Mattelaer
Nouha Labyedh
Stanislaw Piotr Zankowski
Christophe Detavernier
C3
Conference
2018
Fe-Containing magnesium aluminate support for stability and carbon control during methane reforming
Stavros-Alexandros Theofanidis
Vladimir Galvita
Hilde Poelman
Naga Venkata Ranga Aditya Dharanipragada
Alessandro Longo
Maria Meledina
Gustaaf Van Tendeloo
Christophe Detavernier
Guy Marin
A1
Journal Article
in
ACS CATALYSIS
2018
From the synthesis of Cu nanocrystals to the formation of conductive layers
Arnau Oliva Puigdomènech
Filip Geenen
Christophe Detavernier
Zeger Hens
C3
Conference
2018
Hydrogen evolution at the buried interface between Pt thin films and silicon oxide nanomembranes
Natalie Y Labrador
Eva L Songcuan
Chathuranga De Silva
Han Chen
Sophia J Kurdziel
Ranjith Karuparambil Ramachandran
Christophe Detavernier
Daniel V Esposito
A1
Journal Article
in
ACS CATALYSIS
2018
In-situ analysis of conductive films formation by adaptable Cu nanocrystals
Arnau Oliva Puigdomènech
Jonathan De Roo
Filip Geenen
Christophe Detavernier
José Martins
Zeger Hens
C3
Conference
2018
Influence of the chalcogen element on the filament stability in Culn(Te,Se,S)(2)/Al2O3 filamentary switching devices
Tareq Ahmad
Wouter Devulder
Karl Opsomer
Matthias Minjauw
Umberto Celano
Thomas Hantschel
Wilfried Vandervorst
Ludovic Goux
Gouri Sankar Kar
Christophe Detavernier
A1
Journal Article
in
ACS APPLIED MATERIALS & INTERFACES
2018
Inherent area-selective growth and nucleation enhancement during Ru ALD using the RuO4-precursor and H2-gas
Matthias Minjauw
Hannes Rijckaert
Isabel Van Driessche
Christophe Detavernier
Jolien Dendooven
C3
Conference
2018
Inherent substrate selectivity and nucleation enhancement during Ru ALD using the RuO4-precursor and H2-gas
Matthias Minjauw
Hannes Rijckaert
Isabel Van Driessche
Christophe Detavernier
Jolien Dendooven
C3
Conference
2018
Kinetics of lifetime changes in bimetallic nanocatalysts revealed by quick X-ray absorption spectroscopy
Matthias Filez
Hilde Poelman
Evgeniy Redekop
Vladimir Galvita
Konstantinos Alexopoulos
Maria Meledina
Ranjith Karuparambil Ramachandran
Jolien Dendooven
Christophe Detavernier
Gustaaf Van Tendeloo
et al.
A1
Journal Article
in
ANGEWANDTE CHEMIE-INTERNATIONAL EDITION
2018
Mechanism of carbon deposits removal from supported Ni catalysts
Stavros-Alexandros Theofanidis
Vladimir Galvita
Hilde Poelman
Rakesh Batchu
Lukas Buelens
Christophe Detavernier
Guy Marin
A1
Journal Article
in
APPLIED CATALYSIS B-ENVIRONMENTAL
2018
Microtransfer printing of Al2O3 -passivated SWIR-PbS QDs photoconductors
Nayyera Mahmoud
Willem Walravens
Jacob Kuhs
Christophe Detavernier
Zeger Hens
Günther Roelkens
C3
Conference
2018
Molecular layer deposition using ring-opening reactions : molecular modeling of the film growth and the effects of hydrogen peroxide
Laura Keskiväli
Matti Putkonen
Eini Puhakka
Eija Kentta
Jeroen Kint
Ranjith Karuparambil Ramachandran
Christophe Detavernier
Pekka Simell
A1
Journal Article
in
ACS OMEGA
2018
Ni-Al alloys as alternative EUV mask absorber
Vu Luong
Vicky Philipsen
Eric Hendrickx
Karl Opsomer
Christophe Detavernier
Christian Laubis
Frank Scholze
Marc Heyns
A1
Journal Article
in
APPLIED SCIENCES-BASEL
2018
Novel EUV mask absorber evaluation in support of next-generation EUV imaging
Vicky Philipsen
Kim Vu Luong
Karl Opsomer
Christophe Detavernier
Eric Hendrickx
Andreas Erdmann
Peter Evanschitzky
Robbert WE van de Kruijs
Zahra Heidarnia-Fathabad
Frank Scholze
et al.
P1
Conference
2018
Oxidation barrier of Cu and Fe powder by atomic layer deposition
Véronique Cremers
Geert Rampelberg
Ahmed Barhoum
Perry Walters
Nathalie Claes
Thais Milagres de Oliveira
Guy Van Assche
Sara Bals
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
SURFACE & COATINGS TECHNOLOGY
2018
PdZn nanoparticle catalyst formation for ethanol dehydrogenation : active metal impregnation vs incorporation
Jolien De Waele
Vladimir Galvita
Hilde Poelman
Christophe Detavernier
Joris Thybaut
A1
Journal Article
in
APPLIED CATALYSIS A-GENERAL
2018
Plasma enhanced atomic layer deposition of aluminum sulfide thin films
Jakob Kuhs
Zeger Hens
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
2018
Pore pressure estimation in irradiated UMo
Daniele Salvato
A Leenaers
Sven Van den Berghe
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF NUCLEAR MATERIALS
2018
Pt-Ga catalyst formation studied with in situ XAS using Fourier and wavelet transformed analysis.
Hilde Poelman
E. Redekop
Vladimir Galvita
Christophe Detavernier
Matthias Filez
Guy Marin
C3
Conference
2018
Red Mn4+-doped fluoride phosphors : why purity matters
Reinert Verstraete
Heleen Sijbom
Jonas Joos
Katleen Korthout
Dirk Poelman
Christophe Detavernier
Philippe Smet
A1
Journal Article
in
ACS APPLIED MATERIALS & INTERFACES
2018
Red fluoride phosphors : a story of reliability
Reinert Verstraete
Heleen Sijbom
Jonas Joos
Katleen Korthout
Christophe Detavernier
Philippe Smet
Dirk Poelman
C3
Conference
2018
Setting carriers free : healing faulty interfaces promotes delocalization and transport in nanocrystal solids
Willem Walravens
Nayyera Mahmoud
Filip Geenen
Eduardo Solano
Jolien Dendooven
Athmane Tadjine
Christophe Delerue
Günther Roelkens
Christophe Detavernier
Zeger Hens
C3
Conference
2018
Surface engineering of particles and powders by atomic layer deposition
Geert Rampelberg
Véronique Cremers
Christophe Detavernier
C3
Conference
2018
Surface species during ALD of platinum observed with in situ reflection IR spectroscopy
Michiel Van Daele
Christophe Detavernier
Jolien Dendooven
A1
Journal Article
in
PHYSICAL CHEMISTRY CHEMICAL PHYSICS
2018
The comparison of on-chip surface enhanced Raman spectroscopy substrates : nanoplasmonic bowtie antenna vs metal slot waveguide
Ali Raza
Stéphane Clemmen
Michiel Van Daele
Pieter Wuytens
Jolien Dendooven
Christophe Detavernier
Roel Baets
C1
Conference
2018
The influence of alloying on the phase formation sequence of ultra-thin nickel silicide films and on the inheritance of texture
Filip Geenen
Eduardo Solano Minuesa
J Jordan-Sweet
C Lavoie
C Mocuta
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2018
The transformation behaviour of 'alucones', deposited by molecular layer deposition, in nanoporous Al2O3 layers
Kevin Van de Kerckhove
Maïssa KS Barr
Lionel Santinacci
Philippe M Vereecken
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
DALTON TRANSACTIONS
2018
Thermal stability of Zr-O-N(:Ti) thin films prepared by magnetron sputtering
CI da Silva-Oliveira
D Martinez-Martinez
M Apreutesei
Geert Rampelberg
Christophe Detavernier
L Cunha
A1
Journal Article
in
VACUUM
2018
TiO2-coated luminescent porous silicon micro-particles as a promising system for nanomedicine
E Chistè
A Ghafarinazari
M Donini
Véronique Cremers
Jolien Dendooven
Christophe Detavernier
D Benati
M Scarpa
S Dusi
N Daldosso
A1
Journal Article
in
JOURNAL OF MATERIALS CHEMISTRY B
2018
Voltage-controlled ON-OFF ferromagnetism at room temperature in a single metal oxide film
Alberto Quintana
Enric Menendez
Maciej O Liedke
Maik Butterling
Andreas Wagner
Veronica Sireus
Pau Torruella
Sonia Estrade
Francesca Peiro
Jolien Dendooven
et al.
A1
Journal Article
in
ACS NANO
2018
2017
3D Monte Carlo model for simulation of ALD on complex substrates
Véronique Cremers
Filip Geenen
Martin Waleczek
Nathalie Claes
Thais Milagres de Oliveira
Robert Zierold
Kornelius Nielsch
Sara Bals
Christophe Detavernier
Jolien Dendooven
C3
Conference
2017
3D porous nanostructured platinum prepared using atomic layer deposition
Sreeprasanth Pulinthanathu Sree
Jolien Dendooven
L Geerts
Ranjith Karuparambil Ramachandran
E Javon
F Ceyssens
E Breynaert
CEA Kirschhock
R Puers
T Altantzis
et al.
A1
Journal Article
in
JOURNAL OF MATERIALS CHEMISTRY A
2017
A USB-controlled potentiostat/galvanostat for thin-film battery characterization
Thomas Dobbelaere
Philippe Vereecken
Christophe Detavernier
A2
Journal Article
in
HARDWAREX
2017
A core-shell structured Fe2O3/ZrO2@ZrO2 nanomaterial with enhanced redox activity and stability for CO2 conversion
Jiawei Hu
Vladimir Galvita
Hilde Poelman
Christophe Detavernier
Guy Marin
A1
Journal Article
in
JOURNAL OF CO2 UTILIZATION
2017
A new look on ALD vanadium oxides for 3D thin-film lithium-ion batteries
Felix Mattelaer
Kobe Geryl
Thomas Dobbelaere
Geert Rampelberg
Jolien Dendooven
Christophe Detavernier
C3
Conference
2017
A synchrotron look into the lifecycle Of Pt-In catalysts
Hilde Poelman
Matthias Filez
Evgeniy Redekop
Vladimir Galvita
M. Meledina
G. Van Tendeloo
Christophe Detavernier
Guy Marin
C3
Conference
2017
ALD deposition of Fe2O3 doped SnO2 as conversion anode for Li-ion batteries
Jeroen Kint
Felix Mattelaer
Christophe Detavernier
C3
Conference
2017
ALD vanadium oxides for 3D thin-film lithium ion batteries
Felix Mattelaer
Kobe Geryl
Thomas Dobbelaere
Geert Rampelberg
Jolien Dendooven
Christophe Detavernier
C3
Conference
2017
Amorphous and crystalline vanadium oxides as high-energy and high-power cathodes for three-dimensional thin-film lithium ion batteries
Felix Mattelaer
Kobe Geryl
Geert Rampelberg
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
ACS APPLIED MATERIALS & INTERFACES
2017
Atomic layer deposition for catalysis
Ranjith Karuparambil Ramachandran
Christophe Detavernier
Jolien Dendooven
Bookchapter
in
Nanotechnology in catalysis : applications in the chemical industry, energy development, and environment protection
2017
Atomic layer deposition for lithium-ion batteries
Felix Mattelaer
Christophe Detavernier
Jolien Dendooven
Dissertation
2017
Atomic layer deposition of metals and metal oxides : towards the synthesis of bimetallic materials
Ranjith Karuparambil Ramachandran
Christophe Detavernier
Hilde Poelman
Dissertation
2017
Atomic layer deposition of nanoalloys of noble and non-noble metals
Ranjith Karuparambil Ramachandran
Jolien Dendooven
Matthias Filez
Vladimir Galvita
Hilde Poelman
Eduardo Solano Minuesa
Matthias Minjauw
Guy Marin
Christophe Detavernier
A2
Journal Article
in
ECS TRANSACTIONS
2017
Atomic layer deposition-enabled single layer of tungsten trioxide across a large area
Serge Zhuiykov
Lachlan Hyde
Zhenyin Hai
Mohammad Karbalaei Akbari
Eugene Kats
Christophe Detavernier
Chenyang Xue
Hongyan Xu
A1
Journal Article
in
APPLIED MATERIALS TODAY
2017
Atomically-thin WO3/TiO2 heterojunction for supercapacitor electrodes developed by atomic layer deposition
Zhenyin Hai
Mohammad Karbalaei Akbari
Chenyang Xue
Hongyan Xu
Eduardo Solano Minuesa
Christophe Detavernier
Jie Hu
Serge Zhuiykov
A1
Journal Article
in
COMPOSITES COMMUNICATIONS
2017
Axiotaxy in oxide heterostructures : preferential orientation of BaCeO3 nanoparticles embedded in superconducting YBa2Cu3O7-δ thin films
Eduardo Solano Minuesa
Filip Geenen
Teresa Puig
Xavier Obradors
Cristian Mocuta
Christophe Detavernier
A1
Journal Article
in
THIN SOLID FILMS
2017
Basics of atomic layer deposition : growth characteristics and conformality
Jolien Dendooven
Christophe Detavernier
Bookchapter
in
Atomic layer deposition in energy conversion applications
2017
CMOS-compatible ALD zinc oxide coating for on-chip second-order nonlinear optical functionalities
Artur Hermans
Michiel Van Daele
C. Kieninger
Jolien Dendooven
Stéphane Clemmen
Christophe Detavernier
Roel Baets
P1
Conference
2017
Conformal vanadium oxides beyond crystalline V2O5 as high energy density 3D thin-film electrodes for lithium-ion batteries
Felix Mattelaer
Kobe Geryl
Thomas Dobbelaere
Geert Rampelberg
Jolien Dendooven
Christophe Detavernier
C3
Conference
2017
Controlling the stability of a Fe-Ni reforming catalyst : structural organization of the active components
Stavros-Alexandros Theofanidis
Vladimir Galvita
Maarten Sabbe
Hilde Poelman
Christophe Detavernier
Guy Marin
A1
Journal Article
in
APPLIED CATALYSIS B-ENVIRONMENTAL
2017
Doped GeSe materials for selector applications
Naga Sruti Avasarala
B Govoreanu
K Opsomer
W Devulder
S Clima
Christophe Detavernier
Marleen van der Veen
Jan Van Houdt
Marc Henys
L Goux
et al.
P1
Conference
2017
Electro-optic modulation in silicon nitride photonic integrated circuits by means of ALD ZnO overlays
Artur Hermans
Michiel Van Daele
Jolien Dendooven
Stéphane Clemmen
Christophe Detavernier
roel baets
C3
Conference
2017
Electrodeposition of adherent submicron to micron thick manganese dioxide films with optimized current collector interface for 3D Li-ion electrodes
Marina Y Timmermans
Nouha Labyedh
Felix Mattelaer
Stanislaw P Zankowski
Stella Deheryan
Christophe Detavernier
Philippe M Vereecken
A1
Journal Article
in
JOURNAL OF THE ELECTROCHEMICAL SOCIETY
2017
Electrodeposition of insulating poly(phenylene oxide) films with variable thickness
Marina Y Timmermans
Felix Mattelaer
Sébastien Moitzheim
Nick Clerckx
Alfonso Sepulveda
Stella Deheryan
Christophe Detavernier
Philippe M Vereecken
A1
Journal Article
in
JOURNAL OF APPLIED POLYMER SCIENCE
2017
Formation and stability of an active PdZn nanoparticle catalyst on a hydrotalcite-based support for ethanol dehydrogenation
Jolien De Waele
Vladimir Galvita
Hilde Poelman
Christophe Detavernier
Joris Thybaut
A1
Journal Article
in
CATALYSIS SCIENCE & TECHNOLOGY
2017
Formation and texture of thin film silicides
Filip Geenen
Christophe Detavernier
Dissertation
2017
Formation of Ni-Ti intermetallics during reactive sintering at 800-900 °C
Pavel Novák
Vladimír Vojtěch
Zuzana Pecenová
Filip Průša
Petr Pokorný
Davy Deduytsche
Christophe Detavernier
Adriana Bernatiková
Pavel Salvetr
Anna Knaislová
et al.
A1
Journal Article
in
MATERIALI IN TEHNOLOGIJE
2017
Formation of ultrathin Ni germanides : solid-phase reaction, morphology and texture
K van Stiphout
Filip Geenen
Bob De Schutter
NM Santos
SMC Miranda
V Joly
Christophe Detavernier
LMC Pereira
K Temst
A Vantomme
A1
Journal Article
in
JOURNAL OF PHYSICS D-APPLIED PHYSICS
2017
Heterogeneous TiO2/V2O5/carbon nanotube electrodes for lithium-ion batteries
Mert Kurttepeli
Shaoren Deng
Felix Mattelaer
Daire J Cott
Philippe Vereecken
Jolien Dendooven
Christophe Detavernier
Sara Bals
A1
Journal Article
in
ACS APPLIED MATERIALS & INTERFACES
2017
In situ IR spectroscopic investigation of thermal and plasma-enhanced ALD of Pt : temperature dependency of the growth rate
Michiel Van Daele
Christophe Detavernier
Jolien Dendooven
C3
Conference
2017
Independent tuning of size and coverage of supported Pt nanoparticles using atomic layer deposition
Jolien Dendooven
Ranjith Karuparambil Ramachandran
Eduardo Solano Minuesa
Mert Kurttepeli
Lisa Geerts
Gino Heremans
Jan Ronge
Matthias Minjauw
Thomas Dobbelaere
Kilian Devloo-Casier
et al.
A1
Journal Article
in
NATURE COMMUNICATIONS
2017
Investigation of the effect of magnesium on the microstructure and mechanical properties of NiTi shape memory alloy prepared by self-propagating high-temperature synthesis
Andrea Školákova
Pavel Novák
Pavel Salvetr
Hynek Moravec
Václav Šefl
Davy Deduytsche
Christophe Detavernier
A1
Journal Article
in
METALLURGICAL AND MATERIALS TRANSACTIONS A-PHYSICAL METALLURGY AND MATERIALS SCIENCE
2017
K2MnF6 as a precursor for saturated red fluoride phosphors : the struggle for structural stability
Reinert Verstraete
Heleen Sijbom
Katleen Korthout
Dirk Poelman
Christophe Detavernier
Philippe Smet
A1
Journal Article
in
JOURNAL OF MATERIALS CHEMISTRY C
2017
Key role of surface oxidation and reduction processes in the coarsening of Pt nanoparticles
Eduardo Solano Minuesa
Jolien Dendooven
Matthias Minjauw
Ranjith Karuparambil Ramachandran
Kevin Van de Kerckhove
Thomas Dobbelaere
Daniel Hermida-Merino
Christophe Detavernier
A1
Journal Article
in
NANOSCALE
2017
Low-temperature molecular layer deposition using monofunctional aromatic precursors and ozone-based ring-opening reactions
Laura Svärd
Matti Putkonen
Eija Kenttä
Timo Sajavaara
Fabian Krahl
Maarit Karppinen
Kevin Van de Kerckhove
Christophe Detavernier
Pekka Simell
A1
Journal Article
in
LANGMUIR
2017
Microwave induced 'egg yolk' structure in Cr/V-MIL-53
Hannes Depauw
Irena Nevjestic
Jonatan De Winne
Guangbo Wang
Katrien Haustraete
Karen Leus
An Verberckmoes
Christophe Detavernier
Freddy Callens
Els De Canck
et al.
A1
Journal Article
in
CHEMICAL COMMUNICATIONS
2017
Molecular layer deposition for applications in lithium-ion batteries
Kevin Van de Kerckhove
Felix Mattelaer
Jolien Dendooven
Christophe Detavernier
C3
Conference
2017
Molecular layer deposition of 'vanadicone', a vanadium-based hybrid material, as an electrode for lithium-ion batteries
Kevin Van de Kerckhove
Felix Mattelaer
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
DALTON TRANSACTIONS
2017
Monte Carlo simulations of atomic layer deposition on 3D large surface area structures : required precursor exposure for pillar- versus hole-type structures
Véronique Cremers
Filip Geenen
Christophe Detavernier
Jolien Dendooven
A1
Journal Article
in
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
2017
Non-filamentary (VMCO) memory : a two- and three-dimensional study on switching and failure modes
U Celano
C Gastaldi
S Subhechha
B Govoreanu
G Donadio
A Franquet
T Ahmad
Christophe Detavernier
O Richard
H Bender
et al.
P1
Conference
2017
On the inheritance of crystallographic texture during the nickel silicide solid-phase reaction
Filip Geenen
Eduardo Solano Minuesa
Cristian Mocuta
Jean Jordan-Sweet
Christian Lavoie
Christophe Detavernier
C3
Conference
2017
Oxidation barrier for Cu and Fe powder by atomic layer deposition
Véronique Cremers
Geert Rampelberg
Ahmed Barhoum
Perry Walters
Nathalie Claes
Thais Milagres de Oliveira
Guy Van Assche
Sara Bals
Jolien Dendooven
Christophe Detavernier
C3
Conference
2017
PE-ALD of lithium aluminum silicon oxide solid electrolyte layers using LiHMDS
Andreas Werbrouck
Thomas Dobbelaere
Felix Mattelaer
Christophe Detavernier
C3
Conference
2017
Perpendicular magnetic anisotropy of CoFeB\Ta bilayers on ALD HfO2
Bart F Vermeulen
Jackson Wu
Johan Swerts
Sebastien Couet
Iuliana P Radu
Guido Groeseneken
Christophe Detavernier
Johanna K Jochum
Margriet Van Bael
Kristiaan Temst
et al.
A1
Journal Article
in
AIP ADVANCES
2017
Plasma enhanced atomic layer deposition of aluminium sulphide
Jakob Kuhs
Zeger Hens
Christophe Detavernier
C3
Conference
2017
Plasma enhanced atomic layer deposition of aluminium sulphide
Jakob Kuhs
Zeger Hens
Christophe Detavernier
C3
Conference
2017
Plasma enhanced atomic layer deposition of silver using the Ag(fod)(PEt₃)-precursor and NH₃-plasma
Matthias Minjauw
Eduardo Solano Minuesa
Sree Pulinthanathu Sree
Ramesh Asapu
Michiel Van Daele
Ranjith Karuparambil Ramachandran
Sammy Verbruggen
Silvia Lenaerts
Johan Martens
Christophe Detavernier
et al.
C3
Conference
2017
Plasma enhanced atomic layer deposition of silver using the Ag(fod)(PEt₃)-precursor and NH₃-plasma
Matthias Minjauw
Eduardo Solano Minuesa
Sree Pulinthanathu Sree
Ramesh Asapu
Michiel Van Daele
Ranjith Karuparambil Ramachandran
Sammy Verbruggen
Silvia Lenaerts
Johan Martens
Christophe Detavernier
et al.
C3
Conference
2017
Plasma enhanced atomic layer deposition of zinc sulfide thin films
Jakob Kuhs
Thomas Dobbelaere
Zeger Hens
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
2017
Plasma-enhanced atomic layer deposition of silver Using Ag(fod)(PEt3) and NH3-plasma
Matthias Minjauw
Eduardo Solano Minuesa
Sreeprasanth Pulinthanathu Sree
Ramesh Asapu
Michiel Van Daele
Ranjith Karuparambil Ramachandran
Gino Heremans
Sammy W Verbruggen
Silvia Lenaerts
Johan A Martens
et al.
A1
Journal Article
in
CHEMISTRY OF MATERIALS
2017
Plasma-enhanced atomic layer deposition of titanium phosphate as an electrode for lithium-ion batteries
Thomas Dobbelaere
Felix Mattelaer
Amit Kumar Roy
Philippe Vereecken
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF MATERIALS CHEMISTRY A
2017
Plasma-enhanced atomic layer deposition of transition metal phosphates
Thomas Dobbelaere
Christophe Detavernier
Philippe Vereecken
Dissertation
2017
Plasma-enhanced atomic layer deposition of vanadium phosphate as a lithium-ion battery electrode material
Thomas Dobbelaere
Felix Mattelaer
Philippe M Vereecken
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
2017
Plasmonic near-field localization of silver core-shell nanoparticle assemblies via wet chemistry nanogap engineering
Ramesh Asapu
Radu-George Ciocarlan
Nathalie Claes
Natan Blommaerts
Matthias Minjauw
Tareq Ahmad
Jolien Dendooven
Pegie Cool
Sara Bals
Siegfried Denys
et al.
A1
Journal Article
in
ACS APPLIED MATERIALS & INTERFACES
2017
Sensing the framework state and guest molecules in MIL-53(Al) via the electron paramagnetic resonance spectrum of V-IV dopant ions
Irena Nevjestic
Hannes Depauw
Peter Gast
Pieter Tack
Davy Deduytsche
Karen Leus
Melissa Van Landeghem
Etienne Goovaerts
Laszlo Vincze
Christophe Detavernier
et al.
A1
Journal Article
in
PHYSICAL CHEMISTRY CHEMICAL PHYSICS
2017
Silver-polymer core-shell nanoparticles for ultrastable plasmon-enhanced photocatalysis
Ramesh Asapu
Nathalie Claes
Sara Bals
Siegfried Denys
Christophe Detavernier
Silvia Lenaerts
Sammy W Verbruggen
A1
Journal Article
in
APPLIED CATALYSIS B-ENVIRONMENTAL
2017
Size- and composition-controlled Pt–Sn bimetallic nanoparticles prepared by atomic layer deposition
Ranjith Karuparambil Ramachandran
Matthias Filez
Jolien Dendooven
Vladimir Galvita
Hilde Poelman
Eduardo Solano Minuesa
Emiliano Fonda
Guy Marin
Christophe Detavernier
A1
Journal Article
in
RSC ADVANCES
2017
Special issue: Materials for advanced metallization 2016 (MAM 2016) : preface
Christopher J Wilson
Christophe Detavernier
Editorial material
2017
Te-based chalcogenide materials for selector applications
A Velea
K Opsomer
Wouter Devulder
Jan Dumortier
J Fan
Christophe Detavernier
M Jurczak
B Govoreanu
A1
Journal Article
in
SCIENTIFIC REPORTS
2017
Ternary silicide formation from Ni-Pt, Ni-Pd and Pt-Pd alloys on Si(100) : nucleation and solid solubility of the monosilicides
A Schrauwen
J Demeulemeester
Davy Deduytsche
Wouter Devulder
Christophe Detavernier
CM Comrie
K Temst
A Vantomme
A1
Journal Article
in
ACTA MATERIALIA
2017
Texture evolution during solid-state reactions : silicides and germanides
Filip Geenen
Bob De Schutter
Koen De Keyser
Christophe Detavernier
C3
Conference
2017
The influence of ultrathin amorphous ALD alumina and titania on the rate capability of anatase TiO2 and LiMn2O4 lithium ion battery electrodes
Felix Mattelaer
Philippe M Vereecken
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
ADVANCED MATERIALS INTERFACES
2017
The role of composition and microstructure in Ni-W silicide formation and low temperature epitaxial NiSi2 growth by premixing Si
A Schrauwen
K Van Stiphout
J Demeulemeester
Bob De Schutter
Wouter Devulder
CM Comrie
Christophe Detavernier
K Temst
A Vantomme
A1
Journal Article
in
JOURNAL OF PHYSICS D-APPLIED PHYSICS
2017
Tuning the switching behavior of conductive-bridge resistive memory by the modulation of the cation-supplier alloys
Umberto Celano
Luigi Mirabelli
Ludovic Goux
Karl Opsomer
Wouter Devulder
Felice Crupi
Christophe Detavernier
Malgorzata Jurczak
Wilfried Vandervorst
A1
Journal Article
in
MICROELECTRONIC ENGINEERING
2017
Ultrathin epitaxial silicides for semiconductor contacts
Filip Geenen
Cristian Mocuta
Christophe Detavernier
C3
Conference
2017
Unravelling the role of ALD Al2O3 and TiO2 protective coatings on lithium-ion battery electrodes
Felix Mattelaer
Mert Kurttepeli
Shaoren Deng
Daire J Cott
Philippe Vereecken
Jolien Dendooven
Sara Bals
Christophe Detavernier
C3
Conference
2017
Wet-chemical synthesis of 3D stacked thin film metal-oxides for all-solid-state Li-ion batteries
Evert Jonathan van den Ham
Giulia Maino
Gilles Bonneux
Wouter Marchal
Ken Elen
Sven Gielis
Felix Mattelaer
Christophe Detavernier
Peter HL Notten
Marlies K Van Bael
et al.
A1
Journal Article
in
MATERIALS
2017
2016
A case study of ALD encapsulation of quantum dots: embedding supported CdSe/CdS/ZnS quantum dots in a ZnO matrix
Kilian Devloo-Casier
Pieter Geiregat
Karl F Ludwig
Koen van Stiphout
Andre Vantomme
Zeger Hens
Christophe Detavernier
Jolien Dendooven
A1
Journal Article
in
JOURNAL OF PHYSICAL CHEMISTRY C
2016
Anisotropic thermal expansion of Ni, Pd and Pt germanides and silicides
Filip Geenen
Werner Knaepen
Filip Moens
L Brondeel
A Leenaers
S Van den Berghe
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF PHYSICS D-APPLIED PHYSICS
2016
Atomic layer deposition of Pt nanoparticles within the cages of MIL-101 : a mild and recyclable hydrogenation catalyst
Karen Leus
Jolien Dendooven
Norini Tahir
Ranjith Karuparambil Ramachandran
Maria Meledina
Stuart Turner
Gustaaf Van Tendeloo
Jan Goeman
Johan Van der Eycken
Christophe Detavernier
et al.
A1
Journal Article
in
NANOMATERIALS
2016
Atomic layer deposition of vanadium oxides for thin-film lithium-ion battery applications
Felix Mattelaer
Kobe Geryl
Geert Rampelberg
Thomas Dobbelaere
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
RSC ADVANCES
2016
Atomic layer deposition route to tailor nanoalloys of noble and non-noble metals
Ranjith Karuparambil Ramachandran
Jolien Dendooven
Matthias Filez
Vladimir Galvita
Hilde Poelman
Eduardo Solano Minuesa
Matthias Minjauw
Kilian Devloo-Casier
Emiliano Fonda
Daniel Hermida-Merino
et al.
A1
Journal Article
in
ACS NANO
2016
Chemically triggered formation of two-dimensional epitaxial quantum dot superlattices
Willem Walravens
Jonathan De Roo
Emile Drijvers
Stephanie ten Brinck
Eduardo Solano Minuesa
Jolien Dendooven
Christophe Detavernier
Ivan Infante
Zeger Hens
A1
Journal Article
in
ACS NANO
2016
Controlled formation of epitaxially connected nanocrystal superlattices: an important step towards application in opto-electronic devices
Willem Walravens
Jonathan De Roo
Emile Drijvers
Stephanie ten Brinck
Eduardo Solano Minuesa
Jolien Dendooven
Christophe Detavernier
Ivan Infante
Zeger Hens
C3
Conference
2016
Deactivation study of Fe2O3−CeO2 during redox cycles for CO production from CO2
Naga Venkata Ranga Aditya Dharanipragada
Maria Meledina
Vladimir Galvita
Hilde Poelman
Stuart Turner
Gustaaf Van Tendeloo
Christophe Detavernier
Guy Marin
A1
Journal Article
in
INDUSTRIAL & ENGINEERING CHEMISTRY RESEARCH
2016
Direct imaging of ALD deposited Pt nanoclusters inside the giant pores of MIL-101
Maria Meledina
Stuart Turner
Maria Filippousi
Karen Leus
Ivan Lobato
Ranjith Karuparambil Ramachandran
Jolien Dendooven
Christophe Detavernier
Pascal Van Der Voort
Gustaaf Van Tendeloo
A1
Journal Article
in
PARTICLE & PARTICLE SYSTEMS CHARACTERIZATION
2016
Effect of annealing atmosphere on LiMn2O4 for thin film Li-ion batteries from aqueous chemical solution deposition
G Maino
J D'Haen
Felix Mattelaer
Christophe Detavernier
A Hardy
MK Van Bael
A1
Journal Article
in
JOURNAL OF MATERIALS CHEMISTRY A
2016
Electro-precipitation via oxygen reduction : a new technique for thin film manganese oxide deposition
Gijs Vanhoutte
Minxian Wu
Stijn Schaltin
Felix Mattelaer
Christophe Detavernier
Philippe M Vereecken
Koen Binnemans
Jan Fransaer
A1
Journal Article
in
JOURNAL OF MATERIALS CHEMISTRY A
2016
Formation of CuInSe2 films from metal sulfide and selenide precursor nanocrystals by gas-phase selenization, an in-situ XRD study
Boris Capon
Ruben Dierick
Zeger Hens
Christophe Detavernier
A1
Journal Article
in
THIN SOLID FILMS
2016
Formation of nickel silicides in the ultra-thin film regime (<10nm)
Filip Geenen
Eduardo Solano Minuesa
Cristian Mocuta
Christophe Detavernier
C3
Conference
2016
Formation of ultrathin, stable and epitaxial silicides for semiconductor contacts
Filip Geenen
C Mocuta
Christophe Detavernier
C1
Conference
2016
Hydroisomerization and hydrocracking activity enhancement of a hierarchical ZSM-5 zeolite catalyst via atomic layer deposition of aluminium
Sreeprasanth Pulinthanathu Sree
Jolien Dendooven
Pieter CMM Magusin
Karine Thomas
Jean-Pierre Gilson
Francis Taulelle
Christophe Detavernier
Johan Martens
A1
Journal Article
in
CATALYSIS SCIENCE & TECHNOLOGY
2016
In situ electron paramagnetic resonance and X-ray diffraction monitoring of temperature-induced breathing and related structural transformations in activated V-doped MIL-53(Al)
Irena Nevjestic
Hannes Depauw
Karen Leus
Geert Rampelberg
Claire Murray
Christophe Detavernier
Pascal Van Der Voort
Freddy Callens
Henk Vrielinck
A1
Journal Article
in
JOURNAL OF PHYSICAL CHEMISTRY C
2016
In situ solid-state 13C NMR observation of pore mouth catalysis in etherification of β-citronellene with ethanol on zeolite beta
Sambhu Radhakrishnam
Pieter-Jan Goossens
Pieter CMM Magusin
Sreeprasanth Pulinthanathu Sree
Christophe Detavernier
Eric Breynaert
Charlotte Martineau
Francis Taulelle
Johan A Martens
A1
Journal Article
in
JOURNAL OF THE AMERICAN CHEMICAL SOCIETY
2016
In situ synchrotron based fluorescence and scattering techniques : a study of quantum dot encapsulation by atomic layer deposition
Kilian Devloo-Casier
Christophe Detavernier
Jolien Dendooven
Dissertation
2016
Kinetics of multi-step redox processes by time-resolved In situ X-ray diffraction
Lukas Buelens
Vladimir Galvita
Hilde Poelman
Christophe Detavernier
Guy Marin
A1
Journal Article
in
CHEMIE INGENIEUR TECHNIK
2016
Magnetic and electrical characterization of nickel-rich NiFe thin films synthesized by atomic layer deposition and subsequent thermal reduction
Alvaro P Espejo
Robert Zierold
Johannes Gooth
Jolien Dendooven
Christophe Detavernier
Juan Escrig
Kornelius Nielsch
A1
Journal Article
in
NANOTECHNOLOGY
2016
Manganese oxide films with controlled oxidation state for water splitting devices through a combination of atomic layer deposition and post-deposition annealing
Felix Mattelaer
Tom Bosserez
Jan Rongé
Johan Martens
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
RSC ADVANCES
2016
Mobile setup for synchrotron based in situ characterization during thermal and plasma-enhanced atomic layer deposition
Jolien Dendooven
Eduardo Solano Minuesa
Matthias Minjauw
Kevin Van de Kerckhove
Alessandro Coati
Emiliano Fonda
Giuseppe Portale
Yves Garreau
Christophe Detavernier
A1
Journal Article
in
REVIEW OF SCIENTIFIC INSTRUMENTS
2016
Molecular layer deposition of 'titanicone', a titanium-based hybrid material, as an electrode for lithium-ion batteries
Kevin Van de Kerckhove
Felix Mattelaer
Davy Deduytsche
Philippe M Vereecken
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
DALTON TRANSACTIONS
2016
Monte Carlo simulations of ALD on 3D large surface area structures
Véronique Cremers
Filip Geenen
Christophe Detavernier
Jolien Dendooven
C3
Conference
2016
Monte Carlo simulations of atomic layer deposition on 3D large surface area structures
Véronique Cremers
Filip Geenen
Christophe Detavernier
Jolien Dendooven
C3
Conference
2016
Novel method for conformal LiMn2O4 thin films fabrication on planar and 3D microstructure substrates
Nouha Labyedh
Marina Timmermans
Felix Mattelaer
Maarten Mees
Christophe Detavernier
Philippe M Vereecken
C3
Conference
2016
One-pot synthesis of Pt catalysts based on layered double hydroxides: an application in propane dehydrogenation
Matthias Filez
Evgeniy Redekop
Hilde Poelman
Vladimir Galvita
M Meledina
S Turner
G Van Tendeloo
Christophe Detavernier
Guy Marin
A1
Journal Article
in
CATALYSIS SCIENCE & TECHNOLOGY
2016
Perpendicular magnetic anisotropy of Co\Pt bilayers on ALD HfO2
Bart F Vermeulen
Jackson Wu
Johan Swerts
Sebastien Couet
Dimitri Linten
Iuliana P Radu
Kristiaan Temst
Geert Rampelberg
Christophe Detavernier
Guido Groeseneken
et al.
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2016
Phase formation and texture of thin film nickel germanides
Bob De Schutter
Christophe Detavernier
Dissertation
2016
Phase formation and texture of thin nickel germanides on Ge(001) and Ge(111)
Bob De Schutter
K Van Stiphout
NM Santos
E Bladt
J Jordan-Sweet
S Bals
C Lavoie
CM Comrie
A Vantomme
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2016
Plasma enhanced atomic layer deposition of zinc sulfide
Jakob Kuhs
Zeger Hens
Christophe Detavernier
C3
Conference
2016
Plasma-enhanced ALD : a route to hydrophilic, glueable PTFE
Geert Rampelberg
Amit Kumar Roy
Jolien Dendooven
Davy Deduytsche
Kilian Devloo-Casier
Kim Ragaert
Ludwig Cardon
Christophe Detavernier
C3
Conference
2016
Plasma-enhanced ALD on particles and powders
Geert Rampelberg
Delphine Longrie
Davy Deduytsche
Johan Haemers
Christophe Detavernier
C3
Conference
2016
Plasma-enhanced atomic layer deposition of iron and titanium phosphates as electrode materials for 3D-structured lithium-ion microbatteries
Thomas Dobbelaere
Felix Mattelaer
Jolien Dendooven
Philippe M Vereecken
Christophe Detavernier
C1
Conference
2016
Plasma-enhanced atomic layer deposition of iron phosphate as a positive electrode for 3D lithium-ion microbatteries
Thomas Dobbelaere
Felix Mattelaer
Jolien Dendooven
Philippe Vereecken
Christophe Detavernier
A1
Journal Article
in
CHEMISTRY OF MATERIALS
2016
Plasma-enhanced atomic layer deposition of zinc phosphate
Thomas Dobbelaere
Matthias Minjauw
Tareq Ahmad
PM Vereecken
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF NON-CRYSTALLINE SOLIDS
2016
Se-containing inks for the formation of CuInSe2 films without gas-phase selenization
Jorick Maes
Ruben Dierick
Boris Capon
Christophe Detavernier
Zeger Hens
A1
Journal Article
in
SOLAR ENERGY MATERIALS AND SOLAR CELLS
2016
Semiconductor-metal transition in ALD deposited vanadium oxide thin films and nanoparticles
Geert Rampelberg
Bob De Schutter
Wouter Devulder
Marc Schaekers
Koen Martens
Christian Dussarrat
Christophe Detavernier
C3
Conference
2016
Stability of switchable SmS for piezoresistive applications
Andreas Sousanis
Philippe Smet
Christophe Detavernier
Dirk Poelman
P1
Conference
2016
Study of amorphous Cu-Te-Si thin films showing high thermal stability for application as a cation supply layer in conductive bridge random access memory devices
Wouter Devulder
Karl Opsomer
Matthias Minjauw
Johan Meersschaut
Malgorzata Jurczak
Ludovic Goux
Christophe Detavernier
A1
Journal Article
in
RSC ADVANCES
2016
Study of chalcogenide thin films and their application as a cation supply layer in conductive bridge random access memory devices
Wouter Devulder
Christophe Detavernier
Dissertation
2016
Super-dry reforming of methane intensifies CO2 utilization via Le Chatelier's principle
Lukas Buelens
Vladimir Galvita
Hilde Poelman
Christophe Detavernier
Guy Marin
A1
Journal Article
in
SCIENCE
2016
Texture in thin film silicides and germanides : a review
Bob De Schutter
Koen De Keyser
C Lavoie
Christophe Detavernier
A1
Journal Article
in
APPLIED PHYSICS REVIEWS
2016
Thermal and plasma enhanced atomic layer deposition on powders and particles
Geert Rampelberg
Véronique Cremers
Delphine Longrie
Davy Deduytsche
Johan Haemers
Christophe Detavernier
C3
Conference
2016
Thin film synthesis of VO2 and VN by gas-solid reactions and atomic layer deposition
Geert Rampelberg
Christophe Detavernier
Dissertation
2016
Thin, low roughness Ru films deposited by thermal and plasma enhanced atomic layer deposition using RuO4 and H2 at low temperatures
Matthias Minjauw
Jolien Dendooven
Boris Capon
Christian Dussarat
Eduardo Solano Minuesa
Kilian Devloo-Casier
Jakob Kuhs
Marc Schaekers
Alessandro Coati
Christophe Detavernier
C3
Conference
2016
Thin, low roughness Ru films deposited by thermal and plasma enhanced atomic layer deposition using RuO4 and H2 at low temperatures
Matthias Minjauw
Jolien Dendooven
Christian Dussarat
Eduardo Solano Minuesa
Kilian Devloo-Casier
Jakob Kuhs
Marc Schaekers
Alessandro Coati
Christophe Detavernier
C3
Conference
2016
Thin, low roughness Ru films deposited by thermal and plasma enhanced atomic layer deposition using RuO4 and H2 at low temperatures
Matthias Minjauw
Jolien Dendooven
Boris Capon
Christian Dussarat
Eduardo Solano Minuesa
Kilian Devloo-Casier
Jakob Kuhs
Marc Schaekers
Alessandro Coati
Christophe Detavernier
C3
Conference
2016
Visualization and classification of epitaxial alignment at hetero-phase boundaries
Bob De Schutter
Koen De Keyser
Christophe Detavernier
A1
Journal Article
in
THIN SOLID FILMS
2016
2015
Alternative design of Pt-based catalysts : an X-ray spectroscopic view
Matthias Filez
Guy Marin
Christophe Detavernier
Dissertation
2015
Amorphous and perovskite Li3xLa(2/3)-xTiO3 (thin) films via chemical solution deposition : solid electrolytes for all-solid-state Li-ion batteries
EJ van den Ham
N Peys
C De Dobbelaere
J D'Haen
Felix Mattelaer
Christophe Detavernier
PHL Notten
A Hardy
MK Van Bael
A1
Journal Article
in
JOURNAL OF SOL-GEL SCIENCE AND TECHNOLOGY
2015
Antimony sulfide as a light absorber in highly ordered, coaxial nanocylindrical arrays: preparation and integration into a photovoltaic device
Yanlin Wu
Loïc Assaud
Carola Kryschi
Boris Capon
Christophe Detavernier
Lionel Santinacci
Julien Bachmann
A1
Journal Article
in
JOURNAL OF MATERIALS CHEMISTRY A
2015
Atomic layer deposited second-order nonlinear optical metamaterial for back-end integration with CMOS-compatible nanophotonic circuitry
Stéphane Clemmen
Artur Hermans
Eduardo Solano Minuesa
Jolien Dendooven
Kalle Koskinen
Martti Kauranen
Edouard Brainis
Christophe Detavernier
Roel Baets
A1
Journal Article
in
OPTICS LETTERS
2015
Atomic layer deposition of ruthenium at 100 °C using the RuO4-precursor and H2
Matthias Minjauw
Jolien Dendooven
Boris Capon
Marc Schaekers
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF MATERIALS CHEMISTRY C
2015
Catalyst-assisted chemical looping for CO2 conversion to CO
Vladimir Galvita
Hilde Poelman
Christophe Detavernier
Guy Marin
A1
Journal Article
in
APPLIED CATALYSIS B-ENVIRONMENTAL
2015
Combinatorial study of Ag-Te thin films and their application as cation supply layer in CBRAM cells
Wouter Devulder
Karl Opsomer
Johan Meersschaut
Davy Deduytsche
Malgorzata Jurczak
Ludovic Goux
Christophe Detavernier
A1
Journal Article
in
ACS COMBINATORIAL SCIENCE
2015
Conductive filaments multiplicity as a variability factor in CBRAM
U Celano
L Goux
A Belmonte
K Opsomer
Christophe Detavernier
M Jurczak
W Vandervorst
P1
Conference
2015
Deposition of MnO anode and MnO2 cathode thin films by plasma enhanced atomic layer deposition using the Mn(thd)3 precursor
Felix Mattelaer
Philippe Vereecken
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
CHEMISTRY OF MATERIALS
2015
Electrodeposition of thick MnO2 films on restrictive substrates
Marina Timmermans
Felix Mattelaer
Christophe Detavernier
Philippe M Vereecken
C3
Conference
2015
Electronic defect study on low temperature processed Cu(In,Ga)Se2 thin-film solar cells and the influence of an Sb layer
Lisanne Van Puyvelde
Johan Lauwaert
A Tempez
Wouter Devulder
S Nishiwaki
F Pianezzi
Christophe Detavernier
AN Tiwari
Henk Vrielinck
A1
Journal Article
in
JOURNAL OF PHYSICS D-APPLIED PHYSICS
2015
Electropolymerization of poly(phenylene oxide) films with variable thickness
Marina Timmermans
Stella Deheryan
Felix Mattelaer
Christophe Detavernier
Philippe M Vereecken
C3
Conference
2015
Fuel swelling and interaction layer formation in the SELENIUM Si and ZrN coated U(Mo) dispersion fuel plates irradiated at high power in BR2
Ann Leenaers
S Van den Berghe
E Koonen
V Kuzminov
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF NUCLEAR MATERIALS
2015
Improved thermal stability and retention properties of Cu-Te based CBRAM by Ge alloying
Wouter Devulder
Karl Opsomer
Geert Rampelberg
Bob De Schutter
Kilian Devloo-Casier
Malgorzata Jurczak
Ludovic Goux
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF MATERIALS CHEMISTRY C
2015
In situ X-ray diffraction study of the controlled oxidation and reduction in the V-O system for the synthesis of VO2 and V2O3 thin films
Geert Rampelberg
Bob De Schutter
Wouter Devulder
Koen Martens
Iuliana Radu
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF MATERIALS CHEMISTRY C
2015
Influence of alloying elements on the phase formation of ultrathin Ni (<10nm) on Si(001) substrates
Filip Geenen
Koen Van Stiphout
Jean Jordan-Sweet
André Vantomme
Christian Lavoie
Christophe Detavernier
P1
Conference
2015
Influence of alloying the copper supply layer on the retention of CBRAM
Wouter Devulder
Karl Opsomer
Malgorzata Jurczak
Ludovic Goux
Christophe Detavernier
P1
Conference
2015
Low temperature atomic layer deposition of crystalline In2O3 films
Ranjith Karuparambil Ramachandran
Jolien Dendooven
Hilde Poelman
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF PHYSICAL CHEMISTRY C
2015
Low temperature thermal and plasma enhanced atomic layer deposition of ruthenium using RuO4 and H2/H2-plasma
Matthias Minjauw
Jolien Dendooven
Boris Capon
Christophe Detavernier
Marc Schaekers
P1
Conference
2015
Metal-insulator transition in ALD VO2 ultrathin films and nanoparticles: morphological control
Anthony P Peter
Koen Martens
Geert Rampelberg
Michael Toeller
James M Ablett
Johan Meersschaut
Daniel Cuypers
Alexis Franquet
Christophe Detavernier
Jean-Pascal Rueff
et al.
A1
Journal Article
in
ADVANCED FUNCTIONAL MATERIALS
2015
Modification of ultra low-k dielectric films by O₂ and CO₂ plasmas
Teju Tunde Olawumi
Elisabeth Levrau
Mikhail Krishtab
Christophe Detavernier
Johann W Bartha
Kaidong Xu
Frederic Lazzarino
Mikhail R Baklanov
A1
Journal Article
in
ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY
2015
Near room temperature plasma enhanced atomic layer deposition of ruthenium using the RuO4-precursor and H-2-plasma
Matthias Minjauw
Jolien Dendooven
Boris Capon
Marc Schaekers
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF MATERIALS CHEMISTRY C
2015
Plasma enhanced atomic layer deposition of ruthenium below 100°C using RuO4 and H2-plasma
Matthias Minjauw
Jolien Dendooven
Boris Capon
Marc Schaekers
Christophe Detavernier
C3
Conference
2015
Plasma-enhanced atomic layer deposition: a gas-phase route to hydrophilic, glueable polytetrafluoroethylene
Amit Kumar Roy
Jolien Dendooven
Davy Deduytsche
Kilian Devloo-Casier
Kim Ragaert
Ludwig Cardon
Christophe Detavernier
A1
Journal Article
in
CHEMICAL COMMUNICATIONS
2015
Porous nanostructured metal oxides synthesized through atomic layer deposition on a carbonaceous template followed by calcination
Shaoren Deng
Mert Kurttepeli
Daire J Cott
Sara Bals
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF MATERIALS CHEMISTRY A
2015
Pt-Ga catalyst formation studied with in situ XAS using Fourier and wavelet transformed analysis
Matthias Filez
Hilde Poelman
Evgeniy Redekop
Vladimir Galvita
Christophe Detavernier
Guy Marin
C3
Conference
2015
Se-Containing inks for the formation of CuInSe2 films without gas-phase selenization
Jorick Maes
Ruben Dierick
Boris Capon
Christophe Detavernier
Zeger Hens
C3
Conference
2015
Study of Ni-germanide phase formation in Ge(001) with Pd or Pt interlayer
Sérgio MC Miranda
Filip Geenen
Christophe Detavernier
Kristiaan Temst
André Vantomme
C3
Conference
2015
Stuffing-enabled surface confinement of silanes used as sealing agents on CF4 plasma-exposed 2.0 p-OSG films
Yiting Sun
Elisabeth Levrau
Liping Zhang
Jef Geypen
Johan Meersschaut
Alexis Franquet
Quoc Le Le
Jean-François de Marneffe
Hugo Bender
Herbert Struyf
et al.
A1
Journal Article
in
MICROELECTRONIC ENGINEERING
2015
Templated synthesis of porous materials via atomic layer deposition
Shaoren Deng
Christophe Detavernier
Dissertation
2015
The influence of Al alloying on silicide formation, morphology and texture between a thin (<20 nm) Ni film and Si(001)
Filip Geenen
Koen Van Stiphout
Jean Jordan-Sweet
André Vantomme
Christian Lavoie
Christophe Detavernier
C3
Conference
2015
Understanding the dual nature of the filament dissolution in conductive bridging devices
Umberto Celano
Ludovic Goux
Attilio Belmonte
Karl Opsomer
Robin Degraeve
Christophe Detavernier
Malgorzata Jurczak
Wilfried Vandervorst
A1
Journal Article
in
JOURNAL OF PHYSICAL CHEMISTRY LETTERS
2015
2014
A Single-Event MicroKinetic assessment of n-alkane hydroconversion on ultrastable Y zeolites after atomic layer deposition of alumina
Bart Vandegehuchte
Joris Thybaut
Christophe Detavernier
Davy Deduytsche
Jolien Dendooven
JA Martens
SP Sree
TI Korányi
Guy Marin
A1
Journal Article
in
JOURNAL OF CATALYSIS
2014
ALD of ruthenium at 100°C using the ToRuS-precursor
Matthias Minjauw
Boris Capon
Jolien Dendooven
Marc Schaekers
Christophe Detavernier
C3
Conference
2014
ALD of ruthenium at 100°C using the ToRuS-precursor
Matthias Minjauw
Jolien Dendooven
Boris Capon
Marc Schaekers
Christophe Detavernier
C3
Conference
2014
Air-based photoelectrochemical cell capturing water molecules from ambient air for hydrogen production
J Ronge
Shaoren Deng
SP Sree
T Bosserez
SW Verbruggen
NK Singh
Jolien Dendooven
MBJ Roeffaers
F Taulelle
M De Volder
et al.
A1
Journal Article
in
RSC ADVANCES
2014
Air-stable short-wave infrared PbS colloidal quantum dot photoconductors passivated with Al2O3 atomic layer deposition
Chen Hu
Alban Gassenq
Yolanda Justo Zarraquiños
Kilian Devloo-Casier
Hongtao Chen
Christophe Detavernier
Zeger Hens
Günther Roelkens
A1
Journal Article
in
APPLIED PHYSICS LETTERS
2014
Annealing of sulfide stabilized colloidal semiconductor nanocrystals
Ruben Dierick
Boris Capon
Hanne Damm
Stijn Flamée
Pieter Arickx
Els Bruneel
Dirk Van Genechten
Marlies Van Bael
An Hardy
Christophe Detavernier
et al.
A1
Journal Article
in
JOURNAL OF MATERIALS CHEMISTRY C
2014
Atomic layer deposition : a 3D surface engineering technique for nanomaterials
Geert Rampelberg
Jolien Dendooven
Davy Deduytsche
Christophe Detavernier
C3
Conference
2014
Atomic layer deposition of aluminum phosphate based on the plasma polymerization of trimethyl phosphate
Thomas Dobbelaere
Amit Kumar Roy
Philippe Vereecken
Christophe Detavernier
A1
Journal Article
in
CHEMISTRY OF MATERIALS
2014
Atomic layer deposition-based synthesis of photoactive TiO₂ nanoparticle chains by using carbon nanotubes as sacrificial templates
Shaoren Deng
Sammy W Verbruggen
Zhanbing He
Daire J Cott
Philippe M Vereecken
Johan A Martens
Sara Bals
Silvia Lenaerts
Christophe Detavernier
A1
Journal Article
in
RSC ADVANCES
2014
Atomic layer deposition-based tuning of the pore size in mesoporous thin films studied by in situ grazing incidence small angle X-ray scattering
Jolien Dendooven
Kilian Devloo-Casier
Matthias Ide
Kathryn Grandfield
Mert Kurttepeli
Karl F Ludwig
Sara Bals
Pascal Van Der Voort
Christophe Detavernier
A1
Journal Article
in
NANOSCALE
2014
Catalytic activation of OKO zeolite with intersecting pores of 10- and 12-membered rings using atomic layer deposition of aluminium
E Verheyen
S Pulinthanathu Sree
K Thomas
Jolien Dendooven
M De Prins
G Vanbutsele
E Breynaert
J-P Gilson
CEA Kirschhock
Christophe Detavernier
et al.
A1
Journal Article
in
CHEMICAL COMMUNICATIONS
2014
Conformal nanocoatings for surface engineering of particles by thermal and plasma-enhanced atomic layer deposition using a rotary reactor
Geert Rampelberg
Delphine Longrie
Davy Deduytsche
Johan Haemers
Kris Driesen
Christophe Detavernier
C3
Conference
2014
Control of the oxidation state of manganese during plasma enhanced atomic layer deposition with the Mn(thd)3 precursor
Felix Mattelaer
Jolien Dendooven
Philippe Vereecken
Christophe Detavernier
C3
Conference
2014
Controllable nitrogen doping in as deposited TiO₂ film and its effect on post deposition annealing
Shaoren Deng
Sammy W Verbruggen
Silvia Lenaerts
Johan A Martens
Sven Van den Berghe
Kilian Devloo-Casier
Wouter Devulder
Jolien Dendooven
Davy Deduytsche
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
2014
Controlling the oxidation state of manganese during plasma enhanced atomic layer deposition using the Mn(thd)3 precursor
Felix Mattelaer
Jolien Dendooven
Philippe Vereecken
Christophe Detavernier
C3
Conference
2014
Crystallization and semiconductor-metal switching behavior of thin VO₂ layers grown by atomic layer deposition
Geert Rampelberg
Davy Deduytsche
Bob De Schutter
Peter Antony Premkumar
Michael Toeller
Marc Schaekers
Koen Martens
Iuliana Radu
Christophe Detavernier
A1
Journal Article
in
THIN SOLID FILMS
2014
Delivering a modifying element to metal nanoparticles via support: Pt-Ga alloying during the reduction of Pt/Mg(Al,Ga)Ox catalysts and its effects on propane dehydrogenation
Evgeniy Redekop
Vladimir Galvita
Hilde Poelman
Vitaliy Bliznuk
Christophe Detavernier
Guy Marin
A1
Journal Article
in
ACS CATALYSIS
2014
Factors influencing the conductivity of aqueous sol(ution)-gel-processed Al-doped ZnO films
Hanne Damm
Peter Adriaensens
Christopher De Dobbelaere
Boris Capon
Ken Elen
Jeroen Drijkoningen
Bert Conings
Jean V Manca
Jan D'Haen
Christophe Detavernier
et al.
A1
Journal Article
in
CHEMISTRY OF MATERIALS
2014
Formation and texture of palladium germanides studied by in situ X-ray diffraction and pole figure measurements
Filip Geenen
Werner Knaepen
Koen De Keyser
K Opsomer
Roland Vanmeirhaeghe
J Jordan-Sweet
C Lavoie
Christophe Detavernier
A1
Journal Article
in
THIN SOLID FILMS
2014
In situ IR spectroscopic investigation of alumina ALD on porous silica films: thermal versus plasma-enhanced ALD
Elisabeth Levrau
Kevin Van de Kerckhove
Kilian Devloo-Casier
Sreeprasanth Pulinthanathu Sree
Johan A Martens
Christophe Detavernier
Jolien Dendooven
A1
Journal Article
in
JOURNAL OF PHYSICAL CHEMISTRY C
2014
In situ XAS and XRF study of nanoparticle nucleation during O3-based Pt deposition
Matthias Filez
Hilde Poelman
Ranjith Karuparambil Ramachandran
Jolien Dendooven
Kilian Devloo-Casier
Emiliano Fonda
Christophe Detavernier
Guy Marin
A1
Journal Article
in
CATALYSIS TODAY
2014
In situ synchrotron based XRF and GISAXS study of ALD encapsulation of supported nanocrystals
Kilian Devloo-Casier
Jolien Dendooven
Pieter Geiregat
Karl Ludwig
Zeger Hens
Christophe Detavernier
C3
Conference
2014
In situ synchrotron based x-ray techniques as monitoring tools for atomic layer deposition
Kilian Devloo-Casier
Karl F Ludwig
Christophe Detavernier
Jolien Dendooven
A1
Journal Article
in
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
2014
Influence of carbon content on the copper-telluride phase formation and on the resistive switching behavior of carbon alloyed Cu-Te conductive bridge random access memory cells
Wouter Devulder
Karl Opsomer
Alexis Franquet
Johan Meersschaut
Attilio Belmonte
Robert Muller
Bob De Schutter
Sven Van Elshocht
Malgorzata Jurczak
Ludovic Goux
et al.
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2014
Magnetic characterization and electrical field-induced switching of magnetite thin films synthesized by atomic layer deposition and subsequent thermal reduction
Robert Zierold
Charlie Le Lam
Jolien Dendooven
Johannes Gooth
Tim Böhnert
Philip Sergelius
Frans Munnik
Josep M Montero Moreno
Detlef Görlitz
Christophe Detavernier
et al.
A1
Journal Article
in
JOURNAL OF PHYSICS D-APPLIED PHYSICS
2014
Nanostructured TiO2/carbon nanosheet hybrid electrode for high-rate thin-film lithium-ion batteries
S Moitzheim
CS Nimisha
Shaoren Deng
Daire J Cott
Christophe Detavernier
PM Vereecken
A1
Journal Article
in
NANOTECHNOLOGY
2014
On the formation and structural properties of hexagonal rare earth (Y, Gd, Dy, Er and Yb) disilicide thin films
Filip Geenen
Werner Knaepen
J Demeulemeester
Koen De Keyser
JL Jordan-Sweet
C Lavoie
A Vantomme
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF ALLOYS AND COMPOUNDS
2014
Phase formation in intermixed Ni-Ge thin films: influence of Ge content and low-temperature nucleation of hexagonal nickel germanides
Bob De Schutter
Wouter Devulder
A Schrauwen
K van Stiphout
T Perkisas
S Bals
A Vantomme
Christophe Detavernier
A1
Journal Article
in
MICROELECTRONIC ENGINEERING
2014
Photocatalytic acetaldehyde oxidation in air using spacious TiO2 films prepared by atomic layer deposition on supported carbonaceous sacrificial templates
Sammy W Verbruggen
Shaoren Deng
Mert Kurttepeli
Daire J Cott
Philippe M Vereecken
Sara Bals
Johan A Martens
Christophe Detavernier
Silvia Lenaerts
A1
Journal Article
in
APPLIED CATALYSIS B-ENVIRONMENTAL
2014
Plasma enhanced atomic layer deposition of Fe2O3 thin films
Ranjith Karuparambil Ramachandran
Jolien Dendooven
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF MATERIALS CHEMISTRY A
2014
Plasma enhanced atomic layer deposition of Ga2O3 thin films
Ranjith Karuparambil Ramachandran
Jolien Dendooven
Jonas Botterman
Sreeprasanth Pulinthanathu Sree
Dirk Poelman
Johan A Martens
Hilde Poelman
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF MATERIALS CHEMISTRY A
2014
Plasma enhanced atomic layer deposition on powders
Geert Rampelberg
Delphine Longrie
Davy Deduytsche
Christophe Detavernier
P1
Conference
2014
Plasma enhanced atomic layer deposition on powders
Geert Rampelberg
Christophe Detavernier
C3
Conference
2014
Propane dehydrogenation over Pt/Mg(Al,Ga)Ox catalysts
Evgeniy Redekop
Vladimir Galvita
Hilde Poelman
Vitaliy Bliznuk
Christophe Detavernier
Guy Marin
C3
Conference
2014
Pt-Ga catalyst formation studied with in situ XAS using Fourier and wavelet transformed analysis
Matthias Filez
Hilde Poelman
Evgeniy Redekop
Vladimir Galvita
Christophe Detavernier
Guy Marin
C3
Conference
2014
Pt-based bimetallic catalysts for alkane dehydrogenation: structural, spectroscopic, and kinetic characterization
Evgeniy Redekop
Vladimir Galvita
Hilde Poelman
Vitaliy Bliznuk
Christophe Detavernier
Stephanie Saerens
Maarten Sabbe
Marie-Françoise Reyniers
Guy Marin
C3
Conference
2014
Quantitative clay mineralogy of a Vertic Planosol in southwestern Ethiopia: impact on soil formation hypotheses
Mathijs Dumon
Alemayehu Regassa Tolossa
Boris Capon
Christophe Detavernier
Eric Van Ranst
A1
Journal Article
in
GEODERMA
2014
Reactor concepts for atomic layer deposition on agitated particles: a review
Delphine Longrie
Davy Deduytsche
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
2014
Scanning probe microscopy as a scalpel to probe filament formation in conductive bridging memory devices
Umberto Celano
Ludovic Goux
Karl Opsomer
Martina Iapichino
Attilio Belmonte
Alexys Franquet
Ilse Hoflijk
Christophe Detavernier
Malgorzata Jurczak
Wilfried Vandervorst
A1
Journal Article
in
MICROELECTRONIC ENGINEERING
2014
Short-wave infrared PbS colloidal quantum dot photodetectors with AlOx atomic layer deposition passivation
Chen Hu
Alban Gassenq
Yolanda Justo Zarraquiños
Kilian Devloo-Casier
Hongtao Chen
Haolan Zhao
Christophe Detavernier
Zeger Hens
Günther Roelkens
C3
Conference
2014
Structural, spectroscopic, and kinetic characterization of Pt-based bimetallic catalysts for alkane dehydrogenation
Vladimir Galvita
Evgeniy Redekop
Hilde Poelman
V. Bliznuk
Christophe Detavernier
Stephanie Saerens
Maarten Sabbe
Marie-Françoise Reyniers
Guy Marin
C3
Conference
2014
Surface-engineered low-enriched uranium-molybdenum fuel for research reactors: in- and out-of-pile studies for the conversion of research reactors from using high‐enriched to low‐enriched nuclear fuels
Ann Leenaers
Christophe Detavernier
Dissertation
2014
Synchrotron based in situ characterization during atomic layer deposition
Jolien Dendooven
Kilian Devloo-Casier
Alessandro Coati
Giuseppe Portale
Wim Bras
Karl Ludwig
Christophe Detavernier
P1
Conference
2014
Synthesis and characterization of photoreactive TiO2-carbon nanosheet composites
Mert Kurttepeli
Shaoren Deng
Sammy W Verbruggen
Giulio Guzzinati
Daire J Cott
Silvia Lenaerts
Jo Verbeeck
Gustaaf Van Tendeloo
Christophe Detavernier
Sara Bals
A1
Journal Article
in
JOURNAL OF PHYSICAL CHEMISTRY C
2014
Synthesis of a 3D network of Pt nanowires by atomic layer deposition on a carbonaceous template
Shaoren Deng
Mert Kurttepeli
Stella Deheryan
Daire J Cott
Philippe M Vereecken
Johan A Martens
Sara Bals
Gustaaf van Tendeloo
Christophe Detavernier
A1
Journal Article
in
NANOSCALE
2014
Thermal and plasma-enhanced atomic layer deposition of TiN using TDMAT and NH3 on particles agitated in a rotary reactor
Delphine Longrie
Davy Deduytsche
Johan Haemers
Philippe Smet
Kris Driesen
Christophe Detavernier
A1
Journal Article
in
ACS APPLIED MATERIALS & INTERFACES
2014
Thermal expansion coefficients of Ni, Pt and Pd germanides and silicides
Filip Geenen
Werner Knaepen
Filip Moens
Lena Brondeel
Ann Leenaers
Sven Van den Berghe
Christophe Detavernier
C3
Conference
2014
Three-dimensional observation of the conductive filament in nanoscaled resistive memory devices
Umberto Celano
Ludovic Goux
Attilio Belmonte
Karl Opsomer
Alexis Franquet
Andreas Schulze
Christophe Detavernier
Olivier Richard
Hugo Bender
Malgorzata Jurczak
et al.
A1
Journal Article
in
NANO LETTERS
2014
Transparent conducting oxide films of group V doped titania prepared by aqueous chemical solution deposition
Ken Elen
Boris Capon
Christopher De Dobbelaere
Daan Dewulf
Nick Peys
Christophe Detavernier
An Hardy
Marlies K Van Bael
A1
Journal Article
in
THIN SOLID FILMS
2014
Understanding atomic layer deposition of aluminaover (100) hydroxylated gamma Al2O3 surface using DFT calculations
Aditya Shankar Sandupatla
E Levrau
Christophe Detavernier
Marie-Françoise Reyniers
Guy Marin
C3
Conference
2014
Unravelling the formation of Pt-Ga alloyed nanoparticles on calcined Ga-modified hydrotalcites by in situ XAS
Matthias Filez
Evgeniy Redekop
Hilde Poelman
Vladimir Galvita
Ranjith Karuparambil Ramachandran
Jolien Dendooven
Christophe Detavernier
Guy Marin
A1
Journal Article
in
CHEMISTRY OF MATERIALS
2014
2013
A rotary reactor for thermal and plasma-enhanced atomic layer deposition on powders and small objects
Delphine Longrie
Davy Deduytsche
Johan Haemers
Kris Driesen
Christophe Detavernier
C1
Conference
2013
AlSi matrices for U(Mo) dispersion fuel plates
Ann Leenaers
S Van den Berghe
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF NUCLEAR MATERIALS
2013
Annealing of sulfide stabilized colloidal chalcopyrite nanocrystals
Pieter Arickx
Ruben Dierick
Boris Capon
Hanne Dam
Christophe Detavernier
Marlies Van Bael
An Hardy
Zeger Hens
C3
Conference
2013
Annealing of sulfide stabilized colloidal semiconductor nanocrystals
Ruben Dierick
Boris Capon
Zeger Hens
Christophe Detavernier
Hanne Damm
An Hardy
Marlies Van Bael
C3
Conference
2013
Aqueous chemical solution deposition of doped titanium dioxide films as Transparent Conducting Oxide
Ken Elen
Boris Capon
Christopher De Dobbelaere
Daan Dewulf
Nick Peys
Christophe Detavernier
An Hardy
Marlies Van Bael
C3
Conference
2013
Aqueous solution-gel route for processing of highly c-axis oriented dense transparent conductive Al-doped ZnO films
Hanne Damm
Ken Elen
Christopher De Dobbelaere
Boris Capon
Freya Vandenbroeck
José Martins
Christophe Detavernier
Jan D'Haen
Peter Adriaensens
An Hardy
et al.
C3
Conference
2013
Atomic layer deposition for surface engineering of powders
Delphine Longrie
Christophe Detavernier
Dissertation
2013
Atomic layer deposition of TiO₂ on surface modified nanoporous low-k films
Elisabeth Levrau
Kilian Devloo-Casier
Jolien Dendooven
Karl F Ludwig
Patrick Verdonck
Johan Meersschaut
Mikhail R Baklanov
Christophe Detavernier
A1
Journal Article
in
LANGMUIR
2013
Atomic layer deposition of platinum : GISAXS study of the initial island growth mode
Jolien Dendooven
Ranjith Karuparambil Ramachandran
Kilian Devloo-Casier
Karl Ludwig
Christophe Detavernier
C3
Conference
2013
CeO2-modified Fe2O3 for CO2 utilization via chemical looping
Vladimir Galvita
Hilde Poelman
Vitaliy Bliznuk
Christophe Detavernier
Guy Marin
A1
Journal Article
in
INDUSTRIAL & ENGINEERING CHEMISTRY RESEARCH
2013
Combining optical and electrical studies to unravel the effect of Sb doping on CIGS solar cell
Lisanne Van Puyvelde
Johan Lauwaert
Philippe Smet
Dirk Poelman
Christophe Detavernier
Fabian Pianezzi
Shiro Nishiwaki
Ayodhya N Tiwari
Samira Khelifi
Marc Burgelman
et al.
C3
Conference
2013
Combining optical and electrical studies to unravel the effect of Sb doping on CIGS solar cell
Lisanne Van Puyvelde
Johan Lauwaert
Philippe Smet
Dirk Poelman
Christophe Detavernier
Fabian Pianezzi
Shiro Nishiwaki
Ayodhya N Tiwari
Samira Khelifi
Marc Burgelman
et al.
C3
Conference
2013
Conductive-AFM tomography for 3D filament observation in resistive switching devices
U Celano
L Goux
A Belmonte
A Schulze
Karl Opsomer
Christophe Detavernier
O Richard
H Bender
M Jurczak
W Vandervorst
P1
Conference
2013
Effect of high temperature deposition on CoSi2 phase formation
CM Comrie
H Ahmed
D Smeets
J Demeulemeester
S Turner
G Van Tendeloo
Christophe Detavernier
A Vantomme
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2013
Electron barrier height at CuxTe1-x/Al₂O₃ interfaces of conducting bridge memory stacks
VV Afanas'ev
F De Stefano
M Houssa
A Stesmans
L Goux
K Opsomer
Christophe Detavernier
JA Kittl
M Jurczak
A1
Journal Article
in
THIN SOLID FILMS
2013
Improved diffusion barrier properties and NiSi thermal stability for the Cu contact with the Ru/TaSiN stack on NiSi/Si
Xin-Ping Qu
Xiao-Meng Zhang
Ying Zhao
Shaoren Deng
Christophe Detavernier
A1
Journal Article
in
ECS SOLID STATE LETTERS
2013
In situ GISAXS during atomic layer deposition of oxides on ordered quantum dots
Kilian Devloo-Casier
Jolien Dendooven
Karl Ludwig
Pieter Geiregat
Zeger Hens
Christophe Detavernier
C3
Conference
2013
In situ XAS and XRF study of nanoparticle nucleation during O3-based Pt deposition
Matthias Filez
Hilde Poelman
Ranjith Karuparambil Ramachandran
Jolien Dendooven
Kilian Devloo-Casier
E. Fonda
Christophe Detavernier
Guy Marin
C3
Conference
2013
In situ characterization of atomic layer deposition in mesoporous thin films by grazing incidence small angle x-ray scattering
Jolien Dendooven
Kilian Devloo-Casier
Matthias Ide
Kathryn Grandfield
Karl Ludwig
Pascal Van Der Voort
Sara Bals
Christophe Detavernier
C3
Conference
2013
Influence of carbon alloying on the thermal stability and resistive switching behavior of copper-telluride based CBRAM cells
Wouter Devulder
Karl Opsomer
Felix Seidel
Attilio Belmonte
Robert Muller
Bob De Schutter
Hugo Bender
Wilfried Vandervorst
Sven Van Elshocht
Malgorzata Jurczak
et al.
A1
Journal Article
in
ACS APPLIED MATERIALS & INTERFACES
2013
Low temperature atomic layer deposition of platinum using (methylcyclopentadienyl)trimethylplatinum and ozone
Jolien Dendooven
Ranjith K Ramanchandran
Kilian Devloo-Casier
Geert Rampelberg
Matthias Filez
Hilde Poelman
Guy Marin
Emiliano Fonda
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF PHYSICAL CHEMISTRY C
2013
Low temperature plasma-enhanced ALD of vanadium nitride as copper diffusion barrier
Geert Rampelberg
Kilian Devloo-Casier
Davy Deduytsche
Marc Schaekers
Nicolas Blasco
Christophe Detavernier
C3
Conference
2013
Low temperature plasma-enhanced atomic layer deposition of thin vanadium nitride layers for copper diffusion barriers
Geert Rampelberg
Kilian Devloo-Casier
Davy Deduytsche
Marc Schaekers
Nicolas Blasco
Christophe Detavernier
A1
Journal Article
in
APPLIED PHYSICS LETTERS
2013
Nucleation and growth of Pt by atomic layer deposition on silica probed with in situ XAS and XRF measurements
Matthias Filez
Ranjith Karuparambil Ramachandran
Kilian Devloo-Casier
Jolien Dendooven
Hilde Poelman
E Fonda
Christophe Detavernier
Guy Marin
C3
Conference
2013
On the growth kinetics of Ni(Pt) silicide thin films
J Demeulemeester
D Smeets
CM Comrie
NP Barradas
A Vieira
Charlotte Van Bockstael
Christophe Detavernier
K Temst
A Vantomme
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2013
On the nucleation of PdSi and NiSi2 during the ternary Ni(Pd)/Si(100) reaction
A Schrauwen
J Demeulemeester
A Kumar
W Vandervorst
CM Comrie
Christophe Detavernier
K Temst
A Vantomme
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2013
Optimization of W\Al2O3\Cu(-Te) material stack for high-performance conductive-bridging memory cells
L Goux
W Kim
Karl Opsomer
A Belmonte
G Kar
F De Stefano
VV Afanas'ev
U Celano
M Houssa
Wouter Devulder
et al.
P1
Conference
2013
Optimizations of pulsed plated p and n-type Bi₂Te₃-based ternary compounds by annealing in different ambient atmospheres
Christian Schumacher
Klaus G Reinsberg
Raimar Rostek
Lewis Akinsinde
Svenja Baessler
Sebastian Zastrow
Geert Rampelberg
Peter Woias
Christophe Detavernier
Jose AC Broekaert
et al.
A1
Journal Article
in
ADVANCED ENERGY MATERIALS
2013
Partially fluorinated MIL-47 and Al-MIL-53 frameworks : influence of functionalization on sorption and breathing properties
Shyam Prosad Biswas
Tom Rémy
Sarah Couck
Dmitry Denysenko
Geert Rampelberg
Joeri FM Denayer
Dirk Volkmer
Christophe Detavernier
Pascal Van Der Voort
A1
Journal Article
in
PHYSICAL CHEMISTRY CHEMICAL PHYSICS
2013
Plasma-enhanced atomic layer deposition of thin vanadium nitride layers as a copper diffusion barrier
Geert Rampelberg
Kilian Devloo-Casier
Davy Deduytsche
Marc Schaekers
Nicolas Blasco
Christophe Detavernier
C3
Conference
2013
Pore narrowing of mesoporous silica materials
Frederik Goethals
Elisabeth Levrau
Els De Canck
Mikhail R Baklanov
Christophe Detavernier
Isabel Van Driessche
Pascal Van Der Voort
A1
Journal Article
in
MATERIALS
2013
Pt-Ga catalyst formation studied with in situ XAS using Fourier and wavelet transformed analysis
Matthias Filez
Hilde Poelman
Evgeniy Redekop
Vladimir Galvita
Christophe Detavernier
Guy Marin
C3
Conference
2013
Pt-Ga catalyst formation studied with in situ XAS using Fourier and wavelet transformed analysis
Matthias Filez
Hilde Poelman
Evgeniy Redekop
Vladimir Galvita
Christophe Detavernier
Guy Marin
C3
Conference
2013
Quantification of the clay mineralogy of a typical Vertic Planosol in south-western Ethiopia : impact on soil formation hypotheses
Mathijs Dumon
Boris Capon
Christophe Detavernier
Eric Van Ranst
C3
Conference
2013
Sealed ultra low-k organosilica films with improved electrical, mechanical and chemical properties
Frederik Goethals
Elisabeth Levrau
Glenn Pollefeyt
Mikhail R Baklanov
Ivan Ciofi
Kris Vanstreels
Christophe Detavernier
Isabel Van Driessche
Pascal Van Der Voort
A1
Journal Article
in
JOURNAL OF MATERIALS CHEMISTRY C
2013
Study of Schottky barrier height modulation for NiSi/Si contact with an antimony interlayer
Xiao Guo
Yang Tang
Yu-Long Jiang
Xin-Ping Qu
Guo-Ping Ru
David Wei Zhang
Davy Deduytsche
Christophe Detavernier
A1
Journal Article
in
MICROELECTRONIC ENGINEERING
2013
Surface engineering of low enriched uranium-molybdenum
Ann Leenaers
S Van den Berghe
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF NUCLEAR MATERIALS
2013
Swelling of U(Mo) dispersion fuel under irradiation: non-destructive analyses of the SELENIUM plates
S Van den Berghe
Y Parthoens
G Cornelis
A Leenaers
E Koonen
V Kuzminov
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF NUCLEAR MATERIALS
2013
Switching mechanism and reverse engineering of low-power Cu-based resistive switching devices
Umberto Celano
Ludovic Goux
Karl Opsomer
Attillio Belmonte
Martina Iapichino
Christophe Detavernier
Malgorzata Jurczak
Wilfried Vandervorst
A1
Journal Article
in
NANOSCALE
2013
Synthesis of uniformly dispersed anatase nanoparticles inside mesoporous silica thin films via controlled breakup and crystallization of amorphous TiO2 deposited using atomic layer deposition
Sreeprasanth Pulinthanathu Sree
Jolien Dendooven
Kasper Masschaele
Heidari M Hamed
Shaoren Deng
Sara Bals
Christophe Detavernier
Johan A Martens
A1
Journal Article
in
NANOSCALE
2013
Thermal and plasma-enhanced ALD of TiN on powders using a rotary reactor
Delphine Longrie
Davy Deduytsche
Johan Haemers
Kris Driesen
Christophe Detavernier
C3
Conference
2013
Thermal-stability optimization of Al₂O₃/Cu-Te based conductive-bridging random access memory systems
L Goux
Karl Opsomer
A Franquet
G Kar
N Jossart
O Richard
DJ Wouters
R Müller
Christophe Detavernier
M Jurczak
et al.
A1
Journal Article
in
THIN SOLID FILMS
2013
Three dimensional reciprocal space measurement by x-ray diffraction using linear and area detectors: applications to texture and defects determination in oriented thin films and nanoprecipitates
Simon Gaudet
Koen De Keyser
Samuel Lambert-Milot
Jean Jordan-Sweet
Christophe Detavernier
Christian Lavoie
Patrick Desjardins
A1
Journal Article
in
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
2013
Wetting transitions of polymers via thermal and plasma enhanced atomic layer depositions
Amit Kumar Roy
Davy Deduytsche
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
2013
2012
A new procedure to seal the pores of mesoporous low-k films with precondensed organosilica oligomers
Frederik Goethals
Mikhail R Baklanov
Ivan Ciofi
Christophe Detavernier
Pascal Van Der Voort
Isabel Van Driessche
A1
Journal Article
in
CHEMICAL COMMUNICATIONS
2012
A rotary reactor for thermal and plasma-enhanced atomic layer deposition on powders and small objects
Delphine Longrie
Davy Deduytsche
Johan Haemers
Kris Driesen
Christophe Detavernier
A1
Journal Article
in
SURFACE & COATINGS TECHNOLOGY
2012
A rotary reactor for thermal and plasma-enhanced atomic layer deposition on powders and small objects
Delphine Longrie
Kilian Devloo-Casier
Johan Haemers
Kris Driesen
Christophe Detavernier
C3
Conference
2012
Anisotropic atomic layer deposition profiles of TiO₂ in hierarchical silica material with multiple porosity
Sreeprasanth Pulinthanathu Sree
Jolien Dendooven
Jasper Jammaer
Kasper Masschaele
Davy Deduytsche
Jan D'Haen
Christine EA Kirschhock
Johan A Martens
Christophe Detavernier
A1
Journal Article
in
CHEMISTRY OF MATERIALS
2012
Atomic layer deposition of titanium and vanadium oxide on mesoporous silica and phenol/formaldehyde resins : the effect of the support on the liquid phase epoxidation of cyclohexene
Ilke Muylaert
Jan Musschoot
Karen Leus
Jolien Dendooven
Christophe Detavernier
Pascal Van Der Voort
A1
Journal Article
in
EUROPEAN JOURNAL OF INORGANIC CHEMISTRY
2012
Band alignment in Ge/GeOx/HfO₂/TiO₂ heterojunctions as measured by hard X-ray photoelectron spectroscopy
AK Rumaiz
JC Woicik
C Weiland
Qi Xie
DP Siddons
GH Jaffari
Christophe Detavernier
A1
Journal Article
in
APPLIED PHYSICS LETTERS
2012
Comparison of three processes for plasma-enhanced ALD of platinum
Delphine Longrie
Kilian Devloo-Casier
Sven Van den Berghe
Kris Driesen
Christophe Detavernier
C3
Conference
2012
Conformality of thermal and plasma enhanced atomic layer deposition on a non-woven fibrous substrate
Jan Musschoot
Jolien Dendooven
Davy Deduytsche
Johan Haemers
G Buyle
Christophe Detavernier
A1
Journal Article
in
SURFACE & COATINGS TECHNOLOGY
2012
Determination of activation energies of the U(Mo)/Si and U(Mo)/Al solid state reaction using in-situ X-ray diffraction and Kissinger analysis
A Leenaers
S van den Berghe
Christophe Detavernier
A1
Journal Article
in
SOLID STATE SCIENCES
2012
Determination of the dominant diffusing species during nickel and palladium germanide formation
CM Comrie
D Smeets
KJ Pondo
C van der Walt
J Demeulemeester
Werner Knaepen
Christophe Detavernier
A Habanyama
A Vantomme
A1
Journal Article
in
THIN SOLID FILMS
2012
Fermi level depinning failure for Al/GeO₂/Ge contacts
Hao Yu
Qi Xie
Yu-Long Jiang
Davy Deduytsche
Christophe Detavernier
A1
Journal Article
in
ECS SOLID STATE LETTERS
2012
Germanium surface passivation and atomic layer deposition of high-k dielectrics: a tutorial review on Ge-based MOS capacitors
Qi Xie
Shaoren Deng
Marc Schaekers
Dennis Lin
Matty Caymax
Annelies Delabie
Xin-Ping Qu
Yu-Long Jiang
Davy Deduytsche
Christophe Detavernier
A1
Journal Article
in
SEMICONDUCTOR SCIENCE AND TECHNOLOGY
2012
Improved thermal stability and electrical performance by using PEALD ultrathin Al2O3 film with Ta as Cu diffusion barrier on low k dielectrics
Shao-Feng Ding
Qi Xie
Fei Chen
Hai-Sheng Lu
Shaoren Deng
Davy Deduytsche
Christophe Detavernier
Xin-Ping Qu
A1
Journal Article
in
ECS SOLID STATE LETTERS
2012
In situ characterization of ALD in mesoporous thin films by grazing incidence small angle X-ray scattering
Jolien Dendooven
Kilian Devloo-Casier
Matthias Ide
Kathryn Grandfield
Karl F Ludwig
Pascal Van Der Voort
Sara Bals
Christophe Detavernier
C3
Conference
2012
In situ monitoring of atomic layer deposition in nanoporous thin films using ellipsometric porosimetry
Jolien Dendooven
Kilian Devloo-Casier
Elisabeth Levrau
Robbert Van Hove
Sreeprasanth Pulinthanathu Sree
Mikhail R Baklanov
Johan A Martens
Christophe Detavernier
A1
Journal Article
in
LANGMUIR
2012
In situ study of ALD processes using synchrotron-based X-ray fluorescence and scattering techniques
Jolien Dendooven
Kilian Devloo-Casier
Matthias Ide
Kathryn Grandfield
Karl Ludwig
Sara Bals
Pascal Van Der Voort
Christophe Detavernier
P1
Conference
2012
In situ study of the growth properties of Ni-rare earth silicides for interlayer and alloy systems on Si(100)
J Demeulemeester
Werner Knaepen
D Smeets
A Schrauwen
CM Comrie
NP Barradas
A Vieira
Christophe Detavernier
K Temst
A Vantomme
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2012
Modeling and in situ characterization of the conformality of atomic layer deposition in high aspect ratio structures and nanoporous materials
Jolien Dendooven
Christophe Detavernier
Dissertation
2012
Nanoscale area selective ZnO growth between a monolayer of nanocrystals
Kilian Devloo-Casier
Jolien Dendooven
Karl Ludwig
Pieter Geiregat
Zeger Hens
Christophe Detavernier
C3
Conference
2012
Optimization of electrodeposited p-doped Sb₂Te₃ thermoelectric films by millisecond potentiostatic pulses
Christian Schumacher
Klaus G Reinsberg
Lewis Akinsinde
Sebastian Zastrow
Sonja Heiderich
William Toellner
Geert Rampelberg
Christophe Detavernier
José AC Broekaert
Kornelis Nielsch
et al.
A1
Journal Article
in
ADVANCED ENERGY MATERIALS
2012
Optimization of the annealing conditions for thin VO2 ALD films
Geert Rampelberg
Marc Schaekers
Davy Deduytsche
Bob De Schutter
Jorge Kittl
Christophe Detavernier
C3
Conference
2012
Plasma-enhanced ALD of platinum with O₂, N₂ and NH₃ plasmas
Delphine Longrie
Kilian Devloo-Casier
Davy Deduytsche
Sven Van den Berghe
Kris Driesen
Christophe Detavernier
A1
Journal Article
in
ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY
2012
Selective and reversible ammonia gas detection with nanoporous film functionalized silicon photonic micro-ring resonator
Nebiyu Adello Yebo
Sreeprasanth Pulinthanathu Sree
Elisabeth Levrau
Christophe Detavernier
Zeger Hens
Johan A Martens
Roel Baets
A1
Journal Article
in
OPTICS EXPRESS
2012
Semiconductor-metal transition in thin VO2 films deposited by ozone based atomic layer deposition
Geert Rampelberg
Marc Schaekers
Koen Martens
Qi Xie
Davy Deduytsche
Bob De Schutter
Nicolas Blasco
Jorge Kittl
Christophe Detavernier
C3
Conference
2012
Solution derived ZnO:Al films with low resistivity
K Schellens
Boris Capon
C De Dobbelaere
Christophe Detavernier
A Hardy
MK Van Bael
A1
Journal Article
in
THIN SOLID FILMS
2012
Structural and kinetic study of the reduction of CuO-CeO2/Al2O3 by time-resolved X-ray diffraction
Vladimir Galvita
Hilde Poelman
Guy Marin
Christophe Detavernier
Geert Rampelberg
Bob De Schutter
A1
Journal Article
in
CATALYSIS LETTERS
2012
TaN/Ta as an effective diffusion barrier for direct contact of copper and NiSi
Yu-Long Jiang
Qi Xie
Xin-Ping Qu
David W Zhang
Davy Deduytsche
Christophe Detavernier
A1
Journal Article
in
ELECTROCHEMICAL AND SOLID STATE LETTERS
2012
Texture effects in solid-state reactions of thin films
Koen De Keyser
Christophe Detavernier
Jean-Jordan Sweet
Christian Lavoie
P1
Conference
2012
The coordinatively saturated vanadium MIL-47 as a low leaching heterogeneous catalyst in the oxidation of cyclohexene
Karen Leus
Matthias Vandichel
Ying-Ya Liu
Ilke Muylaert
Jan Musschoot
Steven Pyl
Henk Vrielinck
Freddy Callens
Guy Marin
Christophe Detavernier
et al.
A1
Journal Article
in
JOURNAL OF CATALYSIS
2012
Tuning the pore size of ink-bottle mesopores by atomic layer deposition
Jolien Dendooven
Bart Goris
Kilian Devloo-Casier
Elisabeth Levrau
Ellen Biermans
Mikhail R Baklanov
Karl F Ludwig
Pascal Van Der Voort
Sara Bals
Christophe Detavernier
A1
Journal Article
in
CHEMISTRY OF MATERIALS
2012
Ultra low-k cyclic carbon-bridged PMO films with a high chemical resistance
Frederik Goethals
Ivan Ciofi
Oreste Madia
Kris Vanstreels
Mikhail R Baklanov
Christophe Detavernier
Pascal Van Der Voort
Isabel Van Driessche
A1
Journal Article
in
JOURNAL OF MATERIALS CHEMISTRY
2012
2011
ALD-Modified USY zeolite characterization using single-event microkinetics
Bart Vandegehuchte
Joris Thybaut
Christophe Detavernier
Davy Deduytsche
J Dendooven
JA Martens
SP Sree
TI Korànyi
Guy Marin
C3
Conference
2011
ALD-grown seed layers for electrochemical copper deposition integrated with different diffusion barrier systems
Thomas Waechtler
Shao-Feng Ding
Lutz Hofmann
Robert Mothes
Qi Xie
Steffen Oswald
Christophe Detavernier
Stefan E Schulz
Xin-Ping Qu
Heinrich Lang
et al.
A1
Journal Article
in
MICROELECTRONIC ENGINEERING
2011
Advantages and challenges of plasma enhanced atomic layer deposition
Jan Musschoot
Christophe Detavernier
Dissertation
2011
Aluminium atomic layer deposition applied to mesoporous zeolites for acid catalytic activity enhancement
Sreeprasanth Pulinthanathu Sree
Jolien Dendooven
Tamás I Korány
Gina Vanbutsele
Kristof Houthoofd
Davy Deduytsche
Christophe Detavernier
Johan A Martens
A1
Journal Article
in
CATALYSIS SCIENCE & TECHNOLOGY
2011
Annealing effect on the metal gate effective work function modulation for the Al/TiN/SiO2/p-Si structure
Xiao-Rong Wang
Yu-Long Jiang
Qi Xie
Christophe Detavernier
Guo-Ping Ru
Xin-Ping Qu
Bing-Zong Li
A1
Journal Article
in
MICROELECTRONIC ENGINEERING
2011
Annealing induced hysteresis suppression for TiN/HfO₂/GeON/p-Ge capacitor
Quan-Li Li
Qi Xie
Yu-Long Jiang
Guo-Ping Ru
Xin-Ping Qu
Bing-Zong Li
David W Zhang
Davy Deduytsche
Christophe Detavernier
A1
Journal Article
in
SEMICONDUCTOR SCIENCE AND TECHNOLOGY
2011
Characterization of ALD coatings in nanoporous thin films by ellipsometric porosimetry
Jolien Dendooven
Sreeprasanth Pulinthanathu Sree
Robbert Van Hove
Kilian Devloo-Casier
Mikhail Baklanov
Johan Martens
Christophe Detavernier
C3
Conference
2011
Effect of electric field on CdSe/CdS/ZnS colloidal quantum dots
Sreeparvathi Warrier
Karel Lambert
Jolien Dendooven
Christophe Detavernier
Zeger Hens
Dries Van Thourhout
C3
Conference
2011
Effective Schottky Barrier height modulation by an ultrathin passivation layer of GeOxNy for Al/n-Ge(100) contact
Yu-Long Jiang
Qi Xie
Xin-Ping Qu
Guo-Ping Ru
David W Zhang
Davy Deduytsche
Christophe Detavernier
A1
Journal Article
in
ELECTROCHEMICAL AND SOLID STATE LETTERS
2011
Effective electrical passivation of Ge(100) for HfO2 gate dielectric layers using O-2 plasma
Qi Xie
Davy Deduytsche
Marc Schaekers
Matty Caymax
Annelies Delabie
Xin-Ping Qu
Christophe Detavernier
A1
Journal Article
in
ELECTROCHEMICAL AND SOLID STATE LETTERS
2011
Effective reduction of fixed charge densities in germanium based metal-oxide-semiconductor devices
Shaoren Deng
Qi Xie
Davy Deduytsche
Marc Schaekers
Dennis Lin
Matty Caymax
Annelies Delabie
Sven Van den Berghe
Xinping Qu
Christophe Detavernier
A1
Journal Article
in
APPLIED PHYSICS LETTERS
2011
Embedding quantum dot monolayers in Al2O3 using atomic layer deposition
Karel Lambert
Jolien Dendooven
Christophe Detavernier
Zeger Hens
A1
Journal Article
in
CHEMISTRY OF MATERIALS
2011
Group 5 doped anatase films prepared by aqueous chemical solution deposition
Ken Elen
Boris Capon
Christopher De Dobbelaere
Daan Dewulf
Nick Peys
Christophe Detavernier
An Hardy
Marlies Van Bael
C3
Conference
2011
High-performance Ge MOS capacitors by O₂ plasma passivation and O₂ ambient annealing
Qi Xie
ShaoRen Deng
Marc Schaekers
Dennis Lin
Matty Caymax
Annelies Delabie
YuLong Jiang
XinPing Qu
Davy Deduytsche
Christophe Detavernier
A1
Journal Article
in
IEEE ELECTRON DEVICE LETTERS
2011
In situ GISAXS during atomic layer deposition
Kilian Devloo-Casier
Jolien Dendooven
Karl Ludwig
Christophe Detavernier
C3
Conference
2011
In situ X-ray fluorescence measurements during atomic layer deposition: nucleation and growth of TiO2 on planar substrates and in nanoporous films
Jolien Dendooven
Sreeprasanth Pulinthanathu Sree
Koen De Keyser
Davy Deduytsche
Johan A Martens
Karl F Ludwig
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF PHYSICAL CHEMISTRY C
2011
In situ synchrotron based x-ray fluorescence and scattering measurements during atomic layer deposition: initial growth of HfO2 on Si and Ge substrates
Kilian Devloo-Casier
Jolien Dendooven
KF Ludwig
G Lekens
J D'Haen
Christophe Detavernier
A1
Journal Article
in
APPLIED PHYSICS LETTERS
2011
In situ x-ray characterization and electron tomography study of ALD coatings in mesoporous thin films
Jolien Dendooven
Ellen Biermans
Elisabeth Levrau
Kilian Devloo-Casier
Karl Ludwig
Pascal Van Der Voort
Sara Bals
Christophe Detavernier
C3
Conference
2011
Influence of the Cu-Te composition and microstructure on the resistive switching of Cu-Te/Al(2)O(3)/Si cells
L Goux
Karl Opsomer
R Degraeve
R Muller
Christophe Detavernier
DJ Wouters
M Jurczak
L Altimime
JA Kittl
A1
Journal Article
in
APPLIED PHYSICS LETTERS
2011
Interfacial reactions of Gd- and Nb-oxide based high-k layers deposited by aqueous chemical solution deposition
D Dewulf
N Peys
S Van Elshocht
Geert Rampelberg
Christophe Detavernier
S De Gendt
A Hardy
MK Van Bael
A1
Journal Article
in
MICROELECTRONIC ENGINEERING
2011
Investigation of ultra-thin Al₂O₃ film as Cu diffusion barrier on low-k (k=2.5) dielectrics
Shao-Feng Ding
Qi Xie
Fei Chen
Hai-Sheng Lu
Shao-Ren Deng
Christophe Detavernier
Guo-Ping Ru
Yu-Long Jiang
Xin-Ping Qu
P1
Conference
2011
Phase formation and texture of nickel silicides on Si1-xCx epilayers
Koen De Keyser
Bob De Schutter
Christophe Detavernier
V Machkaoutsan
M Bauer
SG Thomas
JJ Sweet
C Lavoie
A1
Journal Article
in
MICROELECTRONIC ENGINEERING
2011
Semiconductor-metal transition in thin VO2 films grown by ozone based atomic layer deposition
Geert Rampelberg
Marc Schaekers
Koen Martens
Qi Xie
Davy Deduytsche
Bob De Schutter
Nicolas Blasco
Jorge Kittl
Christophe Detavernier
A1
Journal Article
in
APPLIED PHYSICS LETTERS
2011
Semiconductor-metal transition in thin VO2 films grown by ozone based atomic layer deposition
Geert Rampelberg
Marc Schaekers
Koen Martens
Qi Xie
Davy Deduytsche
Bob De Schutter
Nicolas Blasco
Jorge Kittl
Christophe Detavernier
C3
Conference
2011
Sn diffusion during Ni germanide growth on Ge1-xSnx
J Demeulemeester
A Schrauwen
O Nakatsuka
S Zaima
M Adachi
Y Shimura
CM Comrie
C Fleischmann
Christophe Detavernier
K Temst
et al.
A1
Journal Article
in
APPLIED PHYSICS LETTERS
2011
Spacious and mechanically flexible mesoporous silica thin film composed of an open network of interlinked nanoslabs
Sreeprasanth Pulinthanathu Sree
Jolien Dendooven
Dries Smeets
Davy Deduytsche
Alexander Aerts
Kris Vanstreels
Mikhail R Baklanov
Jin Won Seo
Kristiaan Temst
André Vantomme
et al.
A1
Journal Article
in
JOURNAL OF MATERIALS CHEMISTRY
2011
TaCN growth with PDMAT and H-2/Ar plasma by plasma enhanced atomic layer deposition
Qi Xie
Davy Deduytsche
Jan Musschoot
Roland Vanmeirhaeghe
Christophe Detavernier
Shao-Feng Ding
Xin-Ping Qu
A1
Journal Article
in
MICROELECTRONIC ENGINEERING
2011
Tailoring nanoporous materials by atomic layer deposition
Christophe Detavernier
Jolien Dendooven
Sreeprasanth Pulinthanathu Sree
Karl F Ludwig
Johan A Martens
A1
Journal Article
in
CHEMICAL SOCIETY REVIEWS
2011
Texture of thin silicide and germanide films
Koen De Keyser
Christophe Detavernier
Roland Vanmeirhaeghe
Dissertation
2011
The 2010 International workshop on 'Materials for Advanced Metallization', MAM 2010 Mechelen (Malines), Belgium: preface
Youssef Travaly
Christophe Detavernier
Editorial material
2011
The inhibition of enhanced Cu oxidation on ruthenium/diffusion barrier layers for Cu interconnects by carbon alloying into Ru
Shao-Feng Ding
Qi Xie
Steve Müeller
Thomas Waechtler
Hai-Sheng Lu
Stefan E Schulz
Christophe Detavernier
Xin-Ping Qu
Thomas Gessner
A1
Journal Article
in
JOURNAL OF THE ELECTROCHEMICAL SOCIETY
2011
TiO2/HfO2 bi-layer gate stacks grown by atomic layer deposition for germanium-based metal-oxide-semiconductor devices using GeOxNy passivation layer
Qi Xie
Jan Musschoot
Marc Schaekers
Matty Caymax
Annelies Delabie
Dennis Lin
Xin-Ping Qu
Yu-Long Jiang
Sven Van den Berghe
Christophe Detavernier
A1
Journal Article
in
ELECTROCHEMICAL AND SOLID STATE LETTERS
2011
2010
Characterization of solid state reactions and crystallization in thin films using in situ X-ray diffraction
Werner Knaepen
Christophe Detavernier
Roland Vanmeirhaeghe
Dissertation
2010
Conformality of Al2O3 and AlN deposited by plasma-enhanced atomic layer deposition
Jolien Dendooven
Davy Deduytsche
Jan Musschoot
Roland Vanmeirhaeghe
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF THE ELECTROCHEMICAL SOCIETY
2010
Conformality of Al2O3 deposited by thermal, plasma-enhanced and ozone-based atomic layer deposition
Jolien Dendooven
Davy Deduytsche
Jan Musschoot
Christophe Detavernier
C3
Conference
2010
Effect of electric field on CdSe/CdS/ZnS nanocrystals
Sreeparvathi Warrier
Karel Lambert
Jolien Dendooven
Christophe Detavernier
Zeger Hens
Dries Van Thourhout
C1
Conference
2010
Implementing TiO2 as gate dielectric for Ge-channel complementary metal-oxide-semiconductor devices by using HfO2/GeO2 interlayer
Qi Xie
Davy Deduytsche
Marc Schaekers
Matty Caymax
Annelies Delabie
Xiin-Ping Qu
Christophe Detavernier
A1
Journal Article
in
APPLIED PHYSICS LETTERS
2010
In situ X-ray diffraction study of thin film Ir/Si solid state reactions
Werner Knaepen
J Demeulemeester
Davy Deduytsche
JL Jordan-Sweet
A Vantomme
Roland Vanmeirhaeghe
Christophe Detavernier
C Lavoie
A1
Journal Article
in
MICROELECTRONIC ENGINEERING
2010
In situ and ex situ investigation on the annealing performance of the ZnO film grown by ion beam deposition
Tao Chen
Shu-Yi Liu
Qi Xie
Christophe Detavernier
Roland Vanmeirhaeghe
Xin-Ping Qu
A1
Journal Article
in
JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS
2010
In situ study of the formation and properties of nickel silicides
Charlotte Van Bockstael
Christophe Detavernier
Dissertation
2010
In situ study of the formation of silicide phases in amorphous Co-Si mixed layers
Charlotte Van Bockstael
Koen De Keyser
Jelle Demeulemeester
André Vantomme
Roland Vanmeirhaeghe
Christophe Detavernier
Jean Jordan-Sweet
Christian Lavoie
A1
Journal Article
in
MICROELECTRONIC ENGINEERING
2010
In situ x-ray diffraction study of Ni-Yb interlayer and alloy systems on Si(100)
Werner Knaepen
J Demeulemeester
J Jordan-Sweet
A Vantomme
Christophe Detavernier
Roland Vanmeirhaeghe
C Lavoie
A1
Journal Article
in
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
2010
In situ x-ray fluorescence measurements during ALD on flat substrates and in nanoporous
Jolien Dendooven
Davy Deduytsche
Sreeprasanth Pulinthanathu Sree
Tamás I Korányi
Gina Vanbutsele
Johan A Martens
Karl F Ludwig
Christophe Detavernier
C3
Conference
2010
Non-linear dielectric constant increase with Ti composition in high-k ALD-HfTiOx films after O2 crystallization annealing
K Tomida
M Popovici
Karl Opsomer
N Menou
WC Wang
A Delabie
J Swerts
J Steenbergen
B Kaczer
SV Elshocht
et al.
P1
Conference
2010
Phase formation and thermal stability of ultrathin nickel-silicides on Si(100)
Koen De Keyser
Charlotte Van Bockstael
Roland Vanmeirhaeghe
Christophe Detavernier
E Verleysen
H Bender
W Vandervorst
J Jordan-Sweet
C Lavoie
A1
Journal Article
in
APPLIED PHYSICS LETTERS
2010
Seed layer and multistack approaches to reduce leakage in SrTiO3-based metal-insulator-metal capacitors using TiN bottom electrode
Nicolas Menou
Mihaela Popovici
Karl Opsomer
Ben Kaczer
Malgorzata A Pawlak
Christoph Adelmann
Alexis Franquet
Paola Favia
Hugo Bender
Christophe Detavernier
et al.
A1
Journal Article
in
JAPANESE JOURNAL OF APPLIED PHYSICS
2010
Single-event microkinetic model based design of tailored zeolite catalysts through atomic layer deposition
Bart Vandegehuchte
Joris Thybaut
Christophe Detavernier
Davy Deduytsche
Jolien Dendooven
JOHAN MARTENS
PS Sreeprasanth
TI Korányi
Guy Marin
C3
Conference
2010
Texture of CoSi2 films on Si(111), (110) and (001) substrates
Koen De Keyser
Christophe Detavernier
J Jordan-Sweet
C Lavoie
A1
Journal Article
in
THIN SOLID FILMS
2010
Texture of atomic layer deposited ruthenium
Jan Musschoot
Qi Xie
Davy Deduytsche
Koen De Keyser
Delphine Longrie
Johan Haemers
Sven Van den Berghe
Roland Van Meirhaeghe
Jan D'Haen
Christophe Detavernier
A1
Journal Article
in
MICROELECTRONIC ENGINEERING
2010
Texture of cobalt germanides on Ge(100) and Ge(111) and its influence on the formation temperature
Koen De Keyser
Roland Vanmeirhaeghe
Christophe Detavernier
J Jordan-Sweet
C Lavoie
A1
Journal Article
in
JOURNAL OF THE ELECTROCHEMICAL SOCIETY
2010
The effect of sputtered W-based carbide diffusion barriers on the thermal stability and void formation in copper thin films
Qi Xie
Yu-Long Jiang
Koen De Keyser
Christophe Detavernier
Davy Deduytsche
Guo-Ping Ru
Xin-Ping Qu
KN Tu
A1
Journal Article
in
MICROELECTRONIC ENGINEERING
2010
The effects of deposition temperature and ambient on the physical and electrical performance of DC-sputtered n-ZnO/p-Si heterojunction
Tao Chen
Shu-Yi Liu
Qi Xie
Christophe Detavernier
Roland Vanmeirhaeghe
Xin-Ping Qu
A1
Journal Article
in
APPLIED PHYSICS A-MATERIALS SCIENCE & PROCESSING
2010
The influence of Pt redistribution on Ni1-xPtxSi growth properties
J Demeulemeester
D Smeets
CM Comrie
Charlotte Van Bockstael
Werner Knaepen
Christophe Detavernier
K Temst
A Vantomme
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2010
Ultrathin GeOxNy interlayer formed by in situ NH3 plasma pretreatment for passivation of germanium metal-oxide-semiconductor devices
Qi Xie
Jan Musschoot
Marc Schaekers
Matty Caymax
Annelies Delabie
Xin-Ping Qu
Yy-Long Jiang
Sven Van den Berghe
JunHu Liu
Christophe Detavernier
A1
Journal Article
in
APPLIED PHYSICS LETTERS
2010
2009
Atomic layer deposition of titanium nitride from TDMAT precursor
Jan Musschoot
Qi Xie
Davy Deduytsche
Sven Van den Berghe
Roland Van Meirhaeghe
Christophe Detavernier
A1
Journal Article
in
MICROELECTRONIC ENGINEERING
2009
Comparison of thermal and plasma enhanced ALD/CVD of vanadium pentoxide
Jan Musschoot
Davy Deduytsche
Hilde Poelman
Johan Haemers
Roland Vanmeirhaeghe
Sven Van den Berghe
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF THE ELECTROCHEMICAL SOCIETY
2009
Composition influence on the physical and electrical properties of SrxTi1-xOy-based metal-insulator-metal capacitors prepared by atomic layer deposition using TiN bottom electrodes
N Menou
M Popovici
S Clima
K Opsomer
W Polspoel
B Kaczer
Geert Rampelberg
K Tomida
MA Pawlak
Christophe Detavernier
et al.
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2009
Crystallization resistance of barium titanate zirconate ultrathin films from aqueous CSD: a study of cause and effect
An Hardy
Sven Van Elshocht
Werner Knaepen
Jan D'Haen
Thierry Conard
Bert Brijs
Wilfred Vandervorst
Geoffrey Pourtois
Jorge Kittl
Christophe Detavernier
et al.
A1
Journal Article
in
JOURNAL OF MATERIALS CHEMISTRY
2009
Growth and Material Characterization of Hafnium Titanates Deposited by Atomic Layer Deposition
M Popovici
A Delabie
S Van Elshocht
S Clima
G Pourtois
L Nyns
K Tomida
N Menou
K Opsomer
J Swerts
et al.
A1
Journal Article
in
JOURNAL OF THE ELECTROCHEMICAL SOCIETY
2009
High-k dielectrics and metal gates for future generation memory devices
Jorge A Kittl
Karl Opsomer
M Popovici
N Menou
Ben Kaczer
XP Wang
C Adelmann
MA Pawlak
K Tomida
Aude Rothschild
et al.
P1
Conference
2009
High-k dielectrics for future generation memory devices (Invited Paper)
JA Kittl
K Opsomer
M Popovici
N Menou
B Kaczer
XP Wang
C Adelmann
MA Pawlak
K Tomida
A Rothschild
et al.
A1
Journal Article
in
MICROELECTRONIC ENGINEERING
2009
In situ study of the formation of silicide phases in amorphous Ni-Si mixed layers
Charlotte Van Bockstael
Christophe Detavernier
Roland Vanmeirhaeghe
Jean Jordan-Sweet
Christian Lavoie
A1
Journal Article
in
Journal of Applied Physics
2009
In situ x-ray diffraction study of metal induced crystallization of amorphous germanium
Werner Knaepen
S Gaudet
Christophe Detavernier
Roland Vanmeirhaeghe
J Sweet
C Lavoie
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2009
Influence of a transient hexagonal phase on the microstructure and morphological stability of NiSi films
Charlotte Van Bockstael
Koen De Keyser
Roland Van Meirhaeghe
Christophe Detavernier
Jean Jordan-Sweet
Christian Lavoie
A1
Journal Article
in
Applied Physics Letters
2009
Interdiffusion and crystallization in HfO2/Al2O3 superlattices
C Adelmann
J Kesters
K Opsomer
Christophe Detavernier
J Kittl
S Van Elshocht
A1
Journal Article
in
APPLIED PHYSICS LETTERS
2009
Microencapsulation of Moisture-Sensitive CaS:Eu2+ Particles with Aluminum Oxide
Nursen Avci
Jan Musschoot
Philippe Smet
Katleen Korthout
Aykut Avci
Christophe Detavernier
Dirk Poelman
A1
Journal Article
in
JOURNAL OF THE ELECTROCHEMICAL SOCIETY
2009
Modeling the conformality of atomic layer deposition: the effect of sticking probability
Jolien Dendooven
Jan Musschoot
Davy Deduytsche
Roland Vanmeirhaeghe
Christophe Detavernier
C3
Conference
2009
Modeling the conformality of atomic layer deposition: the effect of sticking probability
Jolien Dendooven
Davy Deduytsche
Jan Musschoot
Roland Vanmeirhaeghe
Christophe Detavernier
A1
Journal Article
in
JOURNAL OF THE ELECTROCHEMICAL SOCIETY
2009
Ru thin film grown on TaN by plasma enhanced atomic layer deposition
Qi Xie
YL Jiang
Jan Musschoot
Davy Deduytsche
Christophe Detavernier
Roland Vanmeirhaeghe
S Van den Berghe
GP Ru
BZ Li
XP Qu
A1
Journal Article
in
THIN SOLID FILMS
2009
The texture of thin NiSi films and its effect on agglomeration
Koen De Keyser
Christophe Detavernier
Roland Vanmeirhaeghe
Jean Jordan-Sweet
Christian Lavoie
P1
Conference
2009
2008
0.5 nm EOT low leakage ALD SrTiO3 on TiN MIM capacitors for DRAM applications
N Menou
XP Wang
B Kaczer
W Polspoel
M Popovici
K Opsomer
MA Pawlak
Werner Knaepen
Christophe Detavernier
T Blomberg
et al.
P1
Conference
2008
Conformality of thermal and plasma enhanced ALD
Jolien Dendooven
Jan Musschoot
Davy Deduytsche
Roland Vanmeirhaeghe
Christophe Detavernier
C3
Conference
2008
Diffusion barrier properties of TaNx films prepared by plasma enhanced atomic layer deposition from PDMAT with N-2 or NH3 plasma
Qi Xie
Jan Musschoot
Christophe Detavernier
Davy Deduytsche
Roland Van Meirhaeghe
Sven Van den Berghe
Yu-Long Jiang
Guo-Ping Ru
Bing-Zong Li
Xin-Ping Qu
A1
Journal Article
in
MICROELECTRONIC ENGINEERING
2008
Effect of Pt addition on growth stress and thermal stress of NiSi films
Charlotte Van Bockstael
Koen De Keyser
Davy Deduytsche
Roland Van Meirhaeghe
Christophe Detavernier
JL JORDAN-SWEET
C LAVOIE
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2008
Epitaxial formation of a metastable hexagonal nickel-silicide
Koen De Keyser
Charlotte Van Bockstael
Christophe Detavernier
Roland Vanmeirhaeghe
J JORDAN-SWEET
C LAVOIE
A1
Journal Article
in
ELECTROCHEMICAL AND SOLID STATE LETTERS
2008
Formation and stability of NiSi in the presence of Co and Fe alloying elements
Davy Deduytsche
Christophe Detavernier
Roland Vanmeirhaeghe
Jean Jordan-Sweet
Christian Lavoie
A1
Journal Article
in
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B
2008
Growth kinetics and crystallization behavior of TiO2 films prepared by plasma enhanced atomic layer deposition
Q XIE
Jan Musschoot
Davy Deduytsche
Roland Vanmeirhaeghe
Christophe Detavernier
S VAN DEN BERGHE
YL JIANG
GP RU
BZ LI
XP QU
A1
Journal Article
in
JOURNAL OF THE ELECTROCHEMICAL SOCIETY
2008
In-situ X-ray Diffraction study of Metal Induced Crystallization of amorphous silicon
Werner Knaepen
Christophe Detavernier
Roland Vanmeirhaeghe
JJ SWEET
C LAVOIE
A1
Journal Article
in
THIN SOLID FILMS
2008
Metal in-diffusion during Fe and co-germanidation of germanium
E SIMOEN
K OPSOMER
C CLAEYS
K MAEX
Christophe Detavernier
Roland Vanmeirhaeghe
Paul Clauws
P1
Conference
2008
Nucleation and diffusion during growth of ternary Co1-xNixSi2 thin films studied by complementary techniques in real time
Dries Smeets
Jelle Demeulemeester
Koen De Keyser
Davy Deduytsche
Christophe Detavernier
CM Comrie
CC Theron
Christian Lavoie
André Vantomme
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2008
Physical and optical properties of ZnO thin films grown by DC sputtering deposition
T Chen
SY Liu
Christophe Detavernier
Roland Vanmeirhaeghe
XP Qu
P1
Conference
2008
Pt redistribution during Ni(Pt) silicide formation
Jelle Demeulemeester
Dries Smeets
Charlotte Van Bockstael
Christophe Detavernier
Craig Comrie
N Barradas
Armando Vieira
André Vantomme
A1
Journal Article
in
Applied Physics Letters
2008
Simultaneous real-time x-ray diffraction spectroscopy, Rutherford backscattering spectrometry, and sheet resistance measurements to study thin film growth kinetics by Kissinger plots
Dries Smeets
Jelle Demeulemeester
Davy Deduytsche
Christophe Detavernier
CM Comrie
CC Theron
Christian Lavoie
André Vantomme
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2008
Study of metal-related deep-level defects in germanide Schottky barriers on n-type germanium
E SIMOEN
K OPSOMER
C CLAEYS
K MAEX
Christophe Detavernier
Roland Vanmeirhaeghe
Paul Clauws
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2008
Texture of NiSi films on Si(001), (111), and (110) substrates
Christophe Detavernier
J JORDAN-SWEET
C LAVOIE
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2008
The effect of silicon on the interaction between metallic uranium and aluminum: A 50 year long diffusion experiment
An Leenaers
Christophe Detavernier
Sven Van den Berghe
A1
Journal Article
in
JOURNAL OF NUCLEAR MATERIALS
2008
The role of lattice mismatch and kinetics in texture development: Co1-xNixSi2 thin films on Si(100)
D SMEETS
A VANTOMME
Koen De Keyser
Christophe Detavernier
C LAVOIE
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2008
Thermal versus plasma-enhanced ALD : growth kinetics and conformality
Christophe Detavernier
Jolien Dendooven
Davy Deduytsche
Jan Musschoot
P1
Conference
2008
Yttrium silicide formation and its contact properties on Si(100)
W HUANG
GP RU
Christophe Detavernier
Roland Vanmeirhaeghe
YL JIANG
XP QU
BZ LI
A1
Journal Article
in
MICROELECTRONIC ENGINEERING
2008
2007
Atomic layer deposition of TiO2 from tetrakis-dimethyl-amido titanium or Ti isopropoxide precursors and H2O
Q XIE
YL JIANG
Christophe Detavernier
Davy Deduytsche
Roland Vanmeirhaeghe
GP RU
BZ LI
XP QU
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2007
Axiotaxy of CrSi/sub 2/ on Si(001); from the micrometer- to the angstrom-scale
M. FALKE
H. SCHLETTER
O. FILONENKO
A. MOGILATENKO
G. BEDDIES
S. SCHULZE
M. HIETSCHOLD
A. BLELOCH
Koen De Keyser
Christophe Detavernier
C1
Conference
2007
Characterization of the texture of silicide films using electron backscattered diffraction
Koen De Keyser
Christophe Detavernier
Roland Vanmeirhaeghe
A1
Journal Article
in
APPLIED PHYSICS LETTERS
2007
Effect of Pt addition on the stress of NiSi film formed on Si(100).
GP RU
Christophe Detavernier
Roland Vanmeirhaeghe
LI BING-ZONG
A2
Journal Article
in
CHINESE JOURNAL OF SEMICONDUCTORS
2007
Formation and morphological stability of NiSi in the presence of W, Ti, and Ta alloying elements
Davy Deduytsche
Christophe Detavernier
Roland Vanmeirhaeghe
JL JORDAN-SWEET
C LAVOIE
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2007
Fundamentals of intrinsic stress during silicide formation
A Özcelik
Charlotte Van Bockstael
Christophe Detavernier
Roland Vanmeirhaeghe
P1
Conference
2007
Growth of pinhole-free ytterbium silicide film by solid-state reaction on Si(001) with a thin amorphous Si interlayer
YL JIANG
Q XIE
Christophe Detavernier
GP RU
XP QU
BZ LI
PK CHU
Roland Vanmeirhaeghe
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2007
Influence of Ge substrate crystallinity on Co germanide formation in solid-state reactions
K OPSOMER
Davy Deduytsche
Christophe Detavernier
Roland Vanmeirhaeghe
A LAUWERS
K MAEX
C LAVOIE
A1
Journal Article
in
APPLIED PHYSICS LETTERS
2007
Kinetics of Ni3Si2 formation in the Ni2Si-NiSi thin film reaction from in situ measurements
JA KITTL
MA PAWLAK
C TORREGIANI
A LAUWERS
C DEMEURISSE
C VRANCKEN
PP ABSIL
S BIESEMANS
Christophe Detavernier
J JORDAN-SWEET
et al.
A1
Journal Article
in
APPLIED PHYSICS LETTERS
2007
Oxidation suppression in ytterbium silicidation by Ti/TiN bicapping layer
YL Jian
Q Xie
Christophe Detavernier
Roland Vanmeirhaeghe
GP Ru
XP Qu
BZ Li
AP Huang
PK Chu
A1
Journal Article
in
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
2007
Plasma-enhanced chemical vapour deposition growth of Si nanowires with low melting point metal catalysts: an effective alternative to Au-mediated growth
F IACOPI
PM VEREECKEN
M SCHAEKERS
M CAYMAX
N MOELANS
B BLANPAIN
O RICHARD
Christophe Detavernier
H GRIFFITHS
A1
Journal Article
in
NANOTECHNOLOGY
2007
Point-defect generation in ni-, pd-, and pt-germanide Schottky barriers on n-type germanium
Eddy R Simoen
Karl Opsomer
CL Claeys
Karen Maex
Christophe Detavernier
Roland Vanmeirhaeghe
Paul Clauws
A1
Journal Article
in
JOURNAL OF THE ELECTROCHEMICAL SOCIETY
2007
Stress evolution during Ni-Si compound formation for fully silicided (FUSI) gates
C TORREGIANI
Charlotte Van Bockstael
Christophe Detavernier
C LAVOIE
A LAUWERS
K MAEX
JA KITTL
A1
Journal Article
in
MICROELECTRONIC ENGINEERING
2007
Thin film solid-state reactions forming carbides as contact materials for carbon-containing semiconductors
Wouter Leroy
Christophe Detavernier
Roland Vanmeirhaeghe
C LAVOIE
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2007
Transient and end silicide phase formation in thin film Ni/polycrystalline-Si reactions for fully silicided gate applications
JA KITTL
MA PAWLAK
C TORREGIANI
A LAUWERS
C DEMEURISSE
C VRANCKEN
PP ABSIL
S BIESEMANS
C COIA
Christophe Detavernier
et al.
A1
Journal Article
in
APPLIED PHYSICS LETTERS
2007
2006
A deep-level transient spectroscopy study of Co- and Ni-germanided n-type germanium
K OPSOMER
E SIMOEN
C CLAEYS
K MAEX
Christophe Detavernier
Roland Vanmeirhaeghe
Stefaan Forment
Paul Clauws
A1
Journal Article
in
MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING
2006
A fundamental study of advanced metal/semiconductor contacts
Wouter Leroy
Roland Vanmeirhaeghe
Christophe Detavernier
Dissertation
2006
An in situ study of the stability of thin Ni-silicide layers
Davy Deduytsche
Roland Vanmeirhaeghe
Christophe Detavernier
Dissertation
2006
Deep level transient spectroscopy study of Pd and Pt sputtering damage in n-type germanium
E SIMOEN
K OPSOMER
C CLAEYS
K MAEX
Christophe Detavernier
Roland Vanmeirhaeghe
Paul Clauws
A1
Journal Article
in
APPLIED PHYSICS LETTERS
2006
Deep level transient spectroscopy study of nickel-germanide Schottky barriers on n-type germanium
E SIMOEN
K OPSOMER
C CLAEYS
K MAEX
Christophe Detavernier
Roland Vanmeirhaeghe
Stefaan Forment
Paul Clauws
A1
Journal Article
in
APPLIED PHYSICS LETTERS
2006
Edge-to-edge matching in thin films
Christophe Detavernier
C LAVOIE
A1
Journal Article
in
METALLURGICAL AND MATERIALS TRANSACTIONS A-PHYSICAL METALLURGY AND MATERIALS SCIENCE
2006
Effects of additive elements on the phase formation and morphological stability of nickel monosilicide films
C LAVOIE
Christophe Detavernier
C CABRAL
FM D'HEURLE
AJ KELLOCK
J JORDAN-SWEET
JME HARPER
A1
Journal Article
in
MICROELECTRONIC ENGINEERING
2006
General discussion session of the 2004 Hume-Rothery Symposium on "The structure and diffusional growth mechanisms of irrational interphase boundaries"
BC MUDDLE
HI AARONSON
GR SRINIVASAN
PM KELLY
DE LAUGHLIN
WT REYNOLDS
M BRAUN
G PURDY
WZ ZHANG
JM HOWE
et al.
Editorial material
2006
Germanide phase formation and texture
S. GAUDET
C. LAVOIE
Christophe Detavernier
P. DESJARDINS
C1
Conference
2006
In-situ X-ray diffraction measurements for monitoring carbide and silicide phase formation
Wouter Leroy
Davy Deduytsche
Christophe Detavernier
Roland Vanmeirhaeghe
Dirk Poelman
C LAVOIE
C1
Conference
2006
In-situ X-ray diffraction measurements for monitoring carbide and silicide phase formation
Wouter Leroy
Davy Deduytsche
Christophe Detavernier
Roland Vanmeirhaeghe
Dirk Poelman
C LAVOIE
C1
Conference
2006
Linear growth of Ni2Si thin film on n+/p junction at low temperature
YL JIANG
GP RU
XP QU
BZ LI
Christophe Detavernier
Roland Vanmeirhaeghe
A1
Journal Article
in
JOURNAL OF MATERIALS RESEARCH
2006
Point-defect generation in Ni-,Pd-, and Pt-germanided Schottky barriers on n-type germanium
Eddy R Simoen
Karl Opsomer
CL Claeys
Karen Maex
Christophe Detavernier
Roland Vanmeirhaeghe
Stefaan Forment
Paul Clauws
C1
Conference
2006
Reaction of thin Ni films with Ge: Phase formation and texture
S GAUDET
Christophe Detavernier
C LAVOIE
P DESJARDINS
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2006
Solid-state formation of titanium carbide and molybdenum carbide as contacts for carbon-containing semiconductors
Wouter Leroy
Christophe Detavernier
Roland Vanmeirhaeghe
AJ KELLOCK
C LAVOIE
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2006
Thin film reaction of transition metals with germanium
S GAUDET
Christophe Detavernier
AJ KELLOCK
P DESJARDINS
C LAVOIE
A1
Journal Article
in
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
2006
Tungsten carbides as a diffusion barrier for Cu metallization
Christophe Detavernier
Roland Vanmeirhaeghe
C1
Conference
2006
Understanding of epitaxial alignment in NiSi thin films on a (001) /sub Si/ surface using edge-to-edge matching model
M.X. ZHANG
P.M. KELLY
Christophe Detavernier
C1
Conference
2006
2005
Applications of synchrotron X-rays in microelectronics industry research
JL JORDAN-SWEET
Christophe Detavernier
C LAVOIE
PM MOONEY
MF TONEY
A1
Journal Article
in
NUCLEAR INSTRUMENTS & METHODS IN PHYSICS RESEARCH SECTION B-BEAM INTERACTIONS WITH MATERIALS AND ATOMS
2005
Effect of metal liner on electromigration in Cu Damascene lines
CK HU
LM GIGNAC
E LINIGER
Christophe Detavernier
SG MALHOTRA
A SIMON
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2005
Electrical characterization of NiSi/Si interfaces formed by a single and a two-step rapid thermal silicidation
YL JIANG
GP RU
W HUANG
XP QU
BZ LI
A AGARWAL
G CAI
J POATE
Christophe Detavernier
Roland Vanmeirhaeghe
A1
Journal Article
in
SEMICONDUCTOR SCIENCE AND TECHNOLOGY
2005
High-temperature degradation of NiSi films: Agglomeration versus NiSi2 nucleation
Davy Deduytsche
Christophe Detavernier
Roland Vanmeirhaeghe
C LAVOIE
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2005
Reactive diffusion in the Ni-Si system – influence of Ni thickness on the phase formation sequence
C COïA
C LAVOIE
F D'HEURLE
Christophe Detavernier
P DESJARDINS
A KELLOCK
C1
Conference
2005
Reactive diffusion in the Ni-Si system: phase sequence and formation of metal-rich phases
C LAVOIE
C COIA
FM D'HEURLE
Christophe Detavernier
C CABRAL
P DESJARDINS
AJ KELLOCK
A1
Journal Article
in
DIFFUSION IN MATERIALS: DIMAT 2004, PT 1AND 2
2005
Robust TaNx diffusion barrier for Cu-interconnect technology with subnanometer thickness by metal-organic plasma-enhanced atomic layer deposition
H KIM
Christophe Detavernier
O VAN DER STRATEN
SM ROSSNAGEL
AJ KELLOCK
DG PARK
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2005
Texture in thin films
Christophe Detavernier
C LAVOIE
A1
Journal Article
in
ICOTOM 14: TEXTURES OF MATERIALS, PTS 1AND 2
2005
Understanding of epitaxial alignment in NiSi thin films on a (001)(Si) surface using edge-to-edge matching model
MX ZHANG
PM KELLY
Christophe Detavernier
P1
Conference
2005
Understanding of epitaxial alignment in NiSi thin films on a (001)Si surface using edge-to-edge matching model
M ZHANG
P KELLY
Christophe Detavernier
C1
Conference
2005
2004
Axiotaxy of CoSi2 thin films on Si(100) substrates and the effects of Ti alloying
AS OZCAN
KF LUDWIG
Christophe Detavernier
C LAVOIE
JL JORDAN-SWEET
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2004
CoSi2 formation in the presence of Ti, Ta or W
Christophe Detavernier
C LAVOIE
Roland Vanmeirhaeghe
A1
Journal Article
in
THIN SOLID FILMS
2004
Defect characterization of the structure-growth zone-model for sputter deposited Cu films
Jérémie De Baerdemaeker
Charles Dauwe
Danny Segers
Christophe Detavernier
D DEDUYTSCHE
W EGGER
P SPERR
A1
Journal Article
in
POSITRON ANNIHILATION, ICPA-13, PROCEEDINGS
2004
Influence of Pt addition on the texture of NiSi on Si(001)
Christophe Detavernier
C LAVOIE
A1
Journal Article
in
APPLIED PHYSICS LETTERS
2004
Influence of processing conditions on CoSi2 formation in the presence of a Ti capping layer
Christophe Detavernier
Roland Vanmeirhaeghe
W VANDERVORST
K MAEX
A1
Journal Article
in
MICROELECTRONIC ENGINEERING
2004
Low-temperature formation of CoSi2 in the presence of Au
Christophe Detavernier
C LAVOIE
FM D'HEURLE
H BENDER
Roland Vanmeirhaeghe
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2004
Nickel Silicide Technology
C LAVOIE
Christophe Detavernier
P BESSER
Bookchapter
in
Silicide Technology for Integrated Circuits
2004
Texture of silicide films on Si(001): the occurrence of axiotaxy in cubic CoSi2, tetragonal alpha-FeSi2 and orthorhombic NiSi
Christophe Detavernier
C LAVOIE
P1
Conference
2004
Texture of silicide films on Si(001): the occurrence of axiotaxy in cubic CoSi2, tetragonal alpha-FeSi2 and orthorhombic NiSi
Christophe Detavernier
C LAVOIE
C1
Conference
2004
Texture of tetragonal α-FeSi2 films on Si(001)
Christophe Detavernier
C Lavoie
J Jordan-Sweet
AS Ozcan
A1
Journal Article
in
PHYSICAL REVIEW B
2004
Thermal stability, phase and interface uniformity of Ni-silicide formed by Ni-Si solid-state reaction
XP QU
YL JIANG
GP RU
F LU
BZ LI
Christophe Detavernier
Roland Vanmeirhaeghe
A1
Journal Article
in
THIN SOLID FILMS
2004
2003
An off-normal fibre-like texture in thin films on single-crystal substrates
Christophe Detavernier
AS OZCAN
J JORDAN-SWEET
EA STACH
J TERSOFF
FM ROSS
C LAVOIE
A1
Journal Article
in
NATURE
2003
Does line edge roughness matter ? FEOL and BEOL perspectives
Q LIN
Christopher Black
Christophe Detavernier
L GIGNAC
K GUARINI
B HERBST
H KIM
P OLDIGES
K PETRILLO
M SANCHEZ
C1
Conference
2003
Does line edge roughness matter? FEOL and BEOL perspectives
QH LIN
Christopher Black
Christophe Detavernier
L GIGNAC
K GUARINI
B HERBST
H KIM
P OLDIGES
K PETRILLO
M SANCHEZ
P1
Conference
2003
In-situ monitoring of thin film reactions during rapid thermal annealing: nickel silicide formation
C LAVOIE
R PURTELL
C COIA
Christophe Detavernier
P DESJARDINS
J JORDAN-SWEET
C CABRAL
F D'HEURLE
J HARPER
C1
Conference
2003
Kinetics of agglomeration of NiSi and NiSi2 phase formation
Christophe Detavernier
A OZCAN
C LAVOIE
J HARPER
J JORDAN-SWEET
C1
Conference
2003
Kinetics of agglomeration of NiSi and NiSi2 phase formation
Christophe Detavernier
A OZCAN
C LAVOIE
JJ SWEET
JME HARPER
P1
Conference
2003
Mixing entropy and the nucleation of silicides: Ni-Pd-Si and Co-Mn-Si ternary systems
Christophe Detavernier
XP QU
Roland Vanmeirhaeghe
BZ LI
K MAEX
A1
Journal Article
in
JOURNAL OF MATERIALS RESEARCH
2003
Room temperature grain growth in sputtered Cu films
Davy Deduytsche
Christophe Detavernier
Jérémie De Baerdemaeker
Roland Vanmeirhaeghe
Charles Dauwe
C1
Conference
2003
Room temperature grain growth in sputtered Cu films
Davy Deduytsche
Christophe Detavernier
J DEBAERDEMAEKER
Roland Vanmeirhaeghe
Charles Dauwe
TS KUAN
P1
Conference
2003
Room-temperature grain growth in sputter-deposited Cu films
Christophe Detavernier
Davy Deduytsche
Roland Vanmeirhaeghe
Jérémie De Baerdemaeker
Charles Dauwe
A1
Journal Article
in
APPLIED PHYSICS LETTERS
2003
Structural characterisation of advanced silicides
H BENDER
O RICHARD
L NISTOR
A GUTAKOVSKII
C STUER
Christophe Detavernier
A1
Journal Article
in
MICROSCOPY OF SEMICONDUCTING MATERIALS
2003
Thermal expansion of the isostructural PtSi and NiSi: Negative expansion coefficient in NiSi and stress effects in thin films
Christophe Detavernier
C LAVOIE
FM D'HEURLE
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2003
Thermodynamics and kinetics of room-temperature microstructural evolution in copper films
Christophe Detavernier
S ROSSNAGEL
C NOYAN
S GUHA
C CABRAL
C LAVOIE
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2003
Towards implementation of a nickel silicide process for CMOS technologies
C LAVOIE
FM D'HEURLE
Christophe Detavernier
C CABRAL
A1
Journal Article
in
MICROELECTRONIC ENGINEERING
2003
2002
A BEEM study on the effects of the annealing temperature on barrier height inhomogeneity of CoSi2/Si contact formed in Co-Ti-Si systems.
SY ZHU
XP QU
GP RU
BZ LI
Christophe Detavernier
Roland Vanmeirhaeghe
Felix Cardon
A2
Journal Article
in
CHINESE JOURNAL OF SEMICONDUCTORS
2002
CoSi/sub 2/ formation using a Ti capping layer-the influence of processing conditions on CoSi/sub 2/ nucleation
Christophe Detavernier
Roland Vanmeirhaeghe
K. MAEX
C1
Conference
2002
CoSi2 formation in the presence of carbon.
Christophe Detavernier
Roland Vanmeirhaeghe
H BENDER
O RICHARD
B BRIJS
K MAEX
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2002
CoSi2 formation using a Ti capping layer-Influence of processing conditions on CoSi2 nucleation
Christophe Detavernier
Roland Vanmeirhaeghe
K MAEX
C1
Conference
2002
Double threshold behaviour of I-V characteristics of CoSi2/Si Schottky contacts.
SY ZHU
GP RU
XP QU
BZ LI
Roland Vanmeirhaeghe
Christophe Detavernier
Felix Cardon
A1
Journal Article
in
CHINESE PHYSICS
2002
In situ monitoring of thin film reactions during rapid thermal annealing: Nickel silicide formation
C LAVOIE
R PURTELL
C COIA
Christophe Detavernier
P DESJARDINS
J JORDAN-SWEET
C CABRAL
FM D'HEURLE
JME HARPER
P1
Conference
2002
Silicide formation for Ni and Pd bilayers on Si (100) substrates
Christophe Detavernier
Roland Vanmeirhaeghe
Felix Cardon
C1
Conference
2002
Sillicide formation for Ni and Pd bilayers on Si(100) substrates
XP QU
Christophe Detavernier
Roland Vanmeirhaeghe
Felix Cardon
C1
Conference
2002
The influence of Ti and TiN on the thermal stability of CoSi/sub 2 /
Christophe Detavernier
Roland Vanmeirhaeghe
K. MAEX
C1
Conference
2002
The influence of Ti and TiN on the thermal stability of cobaltdisilicide
Christophe Detavernier
GP RU
Roland Vanmeirhaeghe
K MAEX
C1
Conference
2002
2001
CoSi2 formation through SiO2
Christophe Detavernier
Roland Vanmeirhaeghe
Felix Cardon
K MAEX
A1
Journal Article
in
THIN SOLID FILMS
2001
CoSi2 nucleation in the presence of Ge.
Christophe Detavernier
Roland Vanmeirhaeghe
Felix Cardon
K MAEX
A1
Journal Article
in
THIN SOLID FILMS
2001
Electrical characterization of Ar-ion-bombardment-induced damage in Au/Si and PtSi/Si Schottky barrier contacts.
SY ZHU
Christophe Detavernier
Roland Vanmeirhaeghe
Felix Cardon
Anja Blondeel
Paul Clauws
GP RU
BZ LI
A1
Journal Article
in
SEMICONDUCTOR SCIENCE AND TECHNOLOGY
2001
Formation of epitaxial CoSi2 by a Cr or Mo interlayer: Comparison with a Ti interlayer.
Christophe Detavernier
Roland Vanmeirhaeghe
Felix Cardon
K MAEX
H BENDER
B BRIJS
W VANDERVORST
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2001
Fundamental study of nucleation and epitaxial growth of CoSi2
Christophe Detavernier
Roland Vanmeirhaeghe
Dissertation
2001
Nanometer patterning of thin CoSi2-films by application of local stress
P KLUTH
QT ZHAO
Christophe Detavernier
J XU
L KAPPIUS
H BAY
S LENK
S MANTL
A1
Journal Article
in
MICROELECTRONIC ENGINEERING
2001
Nucleation of COSi2 and MnSi1.7 in the Co/Mn/Si ternary system
Christophe Detavernier
Roland Vanmeirhaeghe
X QU
G RU
S ZHU
B LI
P1
Conference
2001
Nucleation of CoSi/sub 2/ and MnSi/sub 1.7/ in the Co/Mn/Si ternary system
Christophe Detavernier
Roland Vanmeirhaeghe
ZHU SHI-YANG
LI BING-ZONG
C1
Conference
2001
Orientation-dependent stress build-up during the formation of epitaxial CoSi2.
A STEEGEN
Christophe Detavernier
Albert Lauwers
K MAEX
Roland Vanmeirhaeghe
Felix Cardon
A1
Journal Article
in
MICROELECTRONIC ENGINEERING
2001
Physical characterisation of high-k gate stacks deposited on HF-last surfaces
H. BENDER
T. CONARD
H. NOHIRA
J. PETRY
O. RICHARD
C. ZHAO
B. BRIJS
W. BESLING
Christophe Detavernier
W. VANDERVORST
et al.
C1
Conference
2001
The dependence of the etching property of CoSi2 films in diluted HF solutions on the formation conditions.
SY ZHU
GP RU
Christophe Detavernier
Roland Vanmeirhaeghe
E CARDON
BZ LI
A1
Journal Article
in
APPLIED SURFACE SCIENCE
2001
The effect of Pd addition on silicide formation for Ni/Pd bilayers on silicon
XP QU
Christophe Detavernier
Roland Vanmeirhaeghe
GP RU
BZ LI
P1
Conference
2001
The effect of Pd addition on silicide formation for Ni/Pd bilayers on silicon
Christophe Detavernier
R.L. MEIRHAEGHE
LI BING-ZONG
C1
Conference
2001
2000
A BEEM study of Schottky barrier height distributions of ultrathin CoSi2/n-Si(100) formed by solid phase epitaxy.
SY ZHU
Christophe Detavernier
Roland Vanmeirhaeghe
XP QU
GP RU
Felix Cardon
BZ LI
A1
Journal Article
in
SEMICONDUCTOR SCIENCE AND TECHNOLOGY
2000
Ballistic Electron Emission icroscopy (BEEM).
Christophe Detavernier
A2
Journal Article
in
Physicalia Magazine
2000
Ballistic electron emission microscopy studies of the temperature dependence of Schottky barrier height distribution in CoSi2/n-Si(1 0 0) diodes formed by solid phase reaction
Shiyang Zhu
Xin-Ping Qu
Roland Vanmeirhaeghe
Christophe Detavernier
Guo-Ping Ru
Felix Cardon
Bing-Zong Li
A1
Journal Article
in
SOLID-STATE ELECTRONICS
2000
Barrier height inhomogeneities of epitaxial CoSi2 Schottky contacts on n-Si (100) and (111).
SY ZHU
Roland Vanmeirhaeghe
Christophe Detavernier
Felix Cardon
GP RU
XP QU
BZ LI
A1
Journal Article
in
SOLID-STATE ELECTRONICS
2000
CoSi2 formation in the Ti/Co/SiO2/Si system
Christophe Detavernier
Roland Vanmeirhaeghe
Felix Cardon
K MAEX
H BENDER
SY ZHU
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
2000
Electrical characteristics of CoSi2/n-Si(100) Schottky barrier contacts formed by solid state reaction.
SY ZHU
Christophe Detavernier
Roland Vanmeirhaeghe
Felix Cardon
GP RU
XP QU
BZ LI
A1
Journal Article
in
SOLID-STATE ELECTRONICS
2000
Growth of patterned thin epitaxial CoSi2-films by a titanium oxide mediated epitaxy process
PC KLUTH
Christophe Detavernier
QT ZHAO
J XU
HP BOCHEM
S LENK
S MANTL
A1
Journal Article
in
THIN SOLID FILMS
2000
Influence of Ti on CoSi2 nucleation.
Christophe Detavernier
Roland Vanmeirhaeghe
Felix Cardon
K MAEX
W VANDERVORST
B BRIJS
A1
Journal Article
in
APPLIED PHYSICS LETTERS
2000
Influence of mixing entropy on the nucleation of CoSi2
Christophe Detavernier
Roland Vanmeirhaeghe
Felix Cardon
K Maex
A1
Journal Article
in
PHYSICAL REVIEW B
2000
Ion-bombardment effects on PtSi/n-Si Schottky contacts studied by ballistic electron emission microscopy.
GP RU
XP QU
SY ZHU
BZ LI
Christophe Detavernier
Roland Vanmeirhaeghe
Felix Cardon
RA DONATON
K MAEX
A1
Journal Article
in
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B
2000
Nondestructive characterization of thin silicides using x-ray reflectivity.
Christophe Detavernier
Roger De Gryse
Roland Vanmeirhaeghe
Felix Cardon
GP RU
XP QU
BZ LI
RA DONATON
K MAEX
A1
Journal Article
in
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A-VACUUM SURFACES AND FILMS
2000
The influence of Ti cappings layes on CoSi2 formation.
Christophe Detavernier
Roland Vanmeirhaeghe
Felix Cardon
RA DONATON
K MAEX
A1
Journal Article
in
MICROELECTRONIC ENGINEERING
2000
The influence of mixing entropy on the nucleationof CoSi2.
Christophe Detavernier
Roland Vanmeirhaeghe
Felix Cardon
K MAEX
A2
Journal Article
in
PHYSICAL REVIEW B
2000
1999
A BEEM study of PtSi Schottky contacts on ion-milled Si
GP RU
Christophe Detavernier
RA DONATON
Anja Blondeel
Paul Clauws
Roland Vanmeirhaeghe
Felix Cardon
K MAEX
XP QU
SY ZHU
et al.
P1
Conference
1999
A BEEM study of the temperature dependence of the barrier height distribution in PtSi/n-Si Schottky diodes.
S ZHU
Roland Vanmeirhaeghe
Christophe Detavernier
GP RU
BZ LI
Felix Cardon
A1
Journal Article
in
SOLID STATE COMMUNICATIONS
1999
CoSi2 formation in the presence of interfacial silicon oxide.
Christophe Detavernier
Roland Vanmeirhaeghe
Felix Cardon
RA DONATON
K MAEX
A1
Journal Article
in
APPLIED PHYSICS LETTERS
1999
Formation and characterization of SPE grown ultra-thin cobalt disilicide film
XP QU
GP RU
BZ LI
Christophe Detavernier
Roland Vanmeirhaeghe
Felix Cardon
P1
Conference
1999
Formation and characterization of spe grown ultra-thin cobalt disilicide film.
GP RU
LI BING-ZONG
Christophe Detavernier
R VANMEIRHAEGHE
Felix Cardon
C1
Conference
1999
The influence of Ti capping layers on CoSi/sub 2/ formation in the presence of interfacial oxide
Christophe Detavernier
R.A. DONATON
K. MAEX
S. JIN
H. BENDER
Roland Vanmeirhaeghe
Felix Cardon
C1
Conference
1999
The influence of Ti capping layers on CoSi2 formation in tee presence of interfacial oxide
Christophe Detavernier
R DONATON
K MAEX
S JIN
H BENDER
Roland Vanmeirhaeghe
Felix Cardon
P1
Conference
1999
1998
An atomic force microscopy study of thin CoSi2 films formed by solid state reaction
GP RU
J LIU
XP QU
BZ LI
Christophe Detavernier
Roland Vanmeirhaeghe
Felix Cardon
P1
Conference
1998
Ballistic electron emission microscopy study of barrier height inhomogeneities introduced in Au/n-Si Schottky contacts by a HF pretreatment.
Christophe Detavernier
Roland Vanmeirhaeghe
R DONATON
K MAEX
Felix Cardon
A1
Journal Article
in
JOURNAL OF APPLIED PHYSICS
1998
Non-destructive characterization of thin silicides using X-ray reflectivity
Christophe Detavernier
R DEGRYSE
Roland Vanmeirhaeghe
Felix Cardon
GP RU
BZ LI
P1
Conference
1998